电子发烧友App

硬声App

0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

电子发烧友网>嵌入式技术>Linux编程之有限状态机FSM的理解与实现

Linux编程之有限状态机FSM的理解与实现

收藏

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

评论

查看更多

相关推荐

状态机编程实例-状态表法

上篇文章,使用嵌套switch-case法的状态机编程实现了一个炸弹拆除小游戏。本篇,继续介绍状态机编程的第二种方法:状态表法,来实现炸弹拆除小游戏的状态机编程
2023-06-20 09:05:051190

基于有限状态机[8]的DSR路由表项设计实现方法

本文为在FPGA中支持DSR协议的路由表项管理功能,设计一种基于有限状态机[8]的实现方法。
2020-12-22 16:27:251921

基于C语言的状态机实现方案

关于状态机,基础的知识点可以自行理解。本文主要讲解的是一个有限状态机FSM通用的写法,目的在于更好理解,移植,节省代码阅读与调试时间,体现出编程之美。
2023-09-13 09:28:42282

SaberRD状态机建模工具介绍(一)什么是状态机建模

状态机建模是使用状态图和方程式的手段,创建基于混合信号的有限状态机模型的一种建模工具。
2023-12-05 09:51:02429

Verilog状态机+设计实例

的是有限状态机(Finite-State Machine,FSM),简称为状态机,表示在有限状态以及这些状态之间的转移和动作等行为的数学模型。 二、分类 在verilog中常使用的状态机可以分为两类,分别是Moore(摩尔)状态机和Mealy(米利)状态机。两种状态机的主要区别在于
2024-02-12 19:07:391818

有限状态机有什么类型?

在实际的应用中,根据有限状态机是否使用输入信号,设计人员经常将其分为Moore型有限状态机和Mealy型有限状态机两种类型。
2020-04-06 09:00:21

有限状态机的相关资料推荐

软件开发第四讲 - 按键检测(状态机)一、工具链接1、Keil c51 UV4https://yunpan.360.cn/surl_yrIfYYmeRFk2、STC-ISP下载软件https
2022-02-18 06:51:28

状态机编程

等待一段时间后,光标的位置就会右移,表示对最后输入字符的确认。因此,按键输入接口设计和实现的核心,更多的体现在软件接口处理程序的设计中。下面将以此为例,介绍有限状态机的分析设计原理,以及基于状态机思想
2008-07-10 18:00:24

FPGA 状态机总结,比较全面,特别分享下

关于有限状态机的总结资料,比较全面,特别分享下。
2016-04-16 13:22:10

FPGA Verilog HDL 设计实例系列连载--------有限状态机设计

数字系统有两大类有限状态机(Finite State Machine,FSM):Moore状态机和Mealy状态机。Moore状态机  其最大特点是输出只由当前状态确定,与输入无关。Moore状态机
2012-03-09 10:04:18

FPGA有限状态机

FPGA有限状态机
2013-09-08 08:45:17

ISM330DHCX嵌入式有限状态机的使用和配置信息

本文档旨在提供有关 ST 的 ISM330DHCX嵌入式有限状态机的使用和配置的信息。ISM330DHCX 可配置为由用户定义的运动模式激活中断信号生成。为此,最多可以为运动检测独立编程 16 组嵌入式有限状态机
2023-09-08 08:00:23

LSM6DSOX嵌入式有限状态机的使用和配置的信息

本文档旨在提供有关 ST 的 LSM6DSOX 嵌入式有限状态机的使用和配置的信息。LSM6DSOX 可配置为由用户定义的运动模式激活中断信号生成。为此,最多可以为运动检测独立编程 16 组嵌入式有限状态机
2023-09-06 06:36:09

LSM6DSOX嵌入式有限状态机的使用和配置的信息

本文档旨在提供有关 ST 的 LSM6DSOX 嵌入式有限状态机的使用和配置的信息。LSM6DSOX 可配置为由用户定义的运动模式激活中断信号生成。为此,最多可以为运动检测独立编程 16 组嵌入式有限状态机
2023-09-13 07:33:03

MCU裸机编程状态机的定义与注意事项是什么

MCU裸机编程状态机框架--第一部分1 状态机的概念1.1 状态机的要素1.2 状态迁移表1.3 状态机思路实现一个时钟程序1.4 状态机应用的注意事项1.5 更复杂的状态机FSM编程是裸机编程
2022-02-14 06:02:46

MOORE型有限状态机的几种设计方法是什么

MOORE型有限状态机的几种设计方法是什么VHDL设计MOORE型有限状态机时速度问题是什么
2021-05-07 06:01:38

Verilog实验,交通灯的状态机和非状态机实现

本帖最后由 御宇1995 于 2015-6-6 15:06 编辑 实验课要用FPGA(Altera的cycloneIV)实现交通灯,有用状态机和非状态机两种方法,以下是代码状态机实现(一个数
2015-06-06 15:03:52

raw os 之状态机编程

状态机编程的历史很可能久于传统的操作系统, 传统的一个大while 循环模式普遍用到了状态机模式编程状态机一般是基于fsm有限状态机,或者更先进点的是hsm 分层的状态机。具体的fsm 以及
2013-02-27 14:35:10

raw os 正式支持基于状态机的实时事件驱动编程

raw os 正式支持基于状态机的实时事件驱动编程raw os 事件驱动框架特性:1事件处理模型符合UML规范2 融入了fsm和hsm状态机编程模型。3 事件的通讯采用异步通讯4支持事件一对一
2013-02-27 14:23:07

verilog有限状态机设计

状态机进入一个状态后,是把里面里面的代码执行一遍,还是一直执行,一直到状态发生改变
2014-04-03 18:38:21

【FPGA开源教程连载】第七章 状态机设计实例

状态机设计实例实验目的:1.学习状态机的相关概念2.理解一段式、两段式以及三段式状态机的区别以及优缺点实验平台:无实验原理:状态机全称是有限状态机(finite-state machine,缩写
2016-12-26 00:17:38

【Z-turn Board试用体验】有限状态机三段式描述方法(转载)

组合电路输出,也可以时序电路输出)。一般而言,推荐的FSM 描述方法是后两种。这是因为:FSM和其他设计一样,最好使用同步时序方式设计,以提高设计的稳定性,消除毛刺。状态机实现后,一般来说,状态转移部分
2015-05-25 20:33:02

什么是有限状态机FSM

什么是有限状态机FSM简述 有限状态机(以下用FSM指代)是一种算法思想,简单而言,有限状态机由一组状态、一个初始状态、输入和根据输入及现有状态转换为下一个状态的转换函数组成。在Gof的23种
2008-06-04 10:35:23

什么是有限状态机

在嵌入式,机器人领域,由于多的复杂逻辑状态,我们编写程序的时候不得不考虑很多种情况,容易造成功能间的冲突。有限状态机(finite-state machine),简称状态机,是一种表示有限状态以及状态间转移等行为的数学模型。状态机简单来说
2021-12-20 06:51:26

什么是状态机状态机是如何编程的?

什么是状态机状态机是如何编程的?
2021-10-20 07:43:43

如何写好状态机

一篇经典文献,详细讲解了一段、两段、三段式状态机实现,效率、优缺点。看完后相信会对状态机有一个详细的了解。 状态机是逻辑设计的重要内容,状态机的设计水平直接反应工程师的逻辑功底,所以许 多公司
2011-10-24 11:43:11

如何利用STM32去实现一种按键有限状态机

STM32实现按键有限状态机(超详细,易移植)一、状态机简而言之,状态机是使不同状态之间的改变以及状态时产生的相应动作的一种机制。1.1状态机的四要素现态:状态机当前状态。触发条件:改变当前状态
2022-02-16 06:58:52

如何去实现有限状态机FSM的程序设计呢

什么是有限状态机FSM呢?如何去实现有限状态机FSM的程序设计呢?
2022-01-21 07:04:39

怎么运用状态机提高嵌入式软件效率?

如何建立有限状态机的模型?如何利用状态机进行软件设计?如何使用状态机的效能分析?
2021-04-28 06:21:24

我的FSM需要重置吗?

假设我的Spartan-6设计包含由DCM或PLL生成的时钟提供时钟的有限状态机,在DCM / PLL实现锁定后,我是否必须重置FSM?我担心的是,在获取锁定时,DCM / PLL输出可能以比FSM
2019-05-21 12:19:49

浅谈有限状态机FSM——以序列检测为例

应用,往往需要让硬件来实现一些具有一定顺序的工作,这就是要用到状态机的思想。(以上摘自特权同学的《深入浅出玩转FPGA》一书) 有限状态机FSM(Finite State Machine)是数字电路
2014-09-25 09:35:29

简要介绍单片C语言的状态机编程思想

有限状态机是什么?怎样使用状态机思想进行编程呢?有哪些建议?
2022-02-25 06:19:58

通过另一个FSM多次启动FSM

嗨,大家好正如我在标题中所说,我有两个有限状态机,其中一个将多次运行另一个(现在是两个)。计划具有从1到第2 FSM的信号,直到它到达初始状态为止。然后初始状态将驱动“标志”信号0.然后第一个FSM
2018-11-01 16:15:47

fsm有限状态机pdf

利用 VHDL 设计的许多实用逻辑系统中,有许多是可以利用有限状态机的设计方案来描述和实现的。无论与基于 VHDL的其它设计方案相比,还是与可完成相似功能的 CPU 相比,状
2008-06-04 10:33:1075

一种改进的遗传算法进化有限状态机

提出了一种改进的遗传算法,针对有限状态机中输出矢量与状态转移相关的特性,将配置有限状态机的染色体分解为状态转移基因和输出矢量基因进行分阶段的进化实验。实验结
2009-05-10 11:55:3318

基于有限状态机的虚拟训练过程模型研究

通过一个基于操作规程的虚拟训练系统研究了系统仿真流程,分析了有限状态机FSM)的原理,结合虚拟仿真训练的特点,设计出了操作过程模型,并通过Windows 消息机制编程
2009-12-07 14:23:0114

有限状态机的硬件描述语言设计方法

实验目的 1、 熟悉用硬件描述语言(VHDL)设计一般状态机所包含的几个基本部分;2、 掌握用硬件描述语言(VHDL)设计Moore型和Mealy型有限状态机的方法;3、 了解状态
2010-09-03 09:48:170

基于SPW-FSM Editor的CPM调制器的建模

基于SPW-FSM Editor的CPM调制器的建模 CPM调制是一种非线性有记忆调制方式,其信号内在的状态转移特性更适合于用有限状态机(FSM)来描述。SPW的FSM Editor是一个简单易用的FSM建模
2009-03-28 16:29:45901

基于有限状态机在LIN总线开发中的应用

基于有限状态机在LIN总线开发中的应用      引言   随着汽车智能化程度的提高和迅速升级
2010-04-20 13:47:43737

有限状态机网络配置管理研究

设计了有限状态机模型,实现了对不同设备命令的统一转换。基于统一命令转换,实现了Telnet对远程设备的统一命令配置,通过SNMP协议可视化配置设备更加方便。
2011-12-14 14:35:4722

基于VHDL的MTM总线主模块有限状态机设计

为了能够更简洁严谨地描述MTM总线的主模块有限状态机状态转换,同时减少FPGA芯片功耗,提高系统稳定性,文中在分析MTM总线结构和主模块有限状态机模型的基础上,基于VHDL语言采
2012-05-29 15:39:0920

基于有限状态机实现全双工可编程UART

Transmitter 通用异步收发器) 。 重点讨论了使用 FSM(有限状态机) 技术进行接收器和发送器两大核心模块的设计实现 以及接收器能够正常工作的关键技术 ———倍频采样技术。
2016-03-22 15:52:234

基于有限状态机的工控系统软件设计

本文详 细论述了高速状态机的错步问题以及控制层中状态机状态划分问题,结合具体的应用实例,给出了基于状态机实现方法。
2016-03-22 15:48:303

有限状态机_FSM_的实现

本文主要介绍了IP模块的有限状态机实现
2016-03-22 15:42:470

有限状态机FSM在PLD中的实现分析

本文通过举例 利用VHDL 语言描述了不同模式的有限状态机 分析了有限状态机在 PLD 中综合的特点 。
2016-03-22 15:41:363

有限状态机在嵌入式系统中的实现及应用

如何使嵌入式软件代码更加可靠 增强程序的可维护性 一直以来都是嵌入式程序员追 求的目标。论述了有限状态机的原理和其实现方法;采用状态机方法编写了一个按键扫描程序介绍了状态机编程在嵌入式系统中的实际应用和优点。
2016-03-22 15:40:221

有限状态机的一种实现框架

通过引入良好的数据结构和事件触发机制提出了一种面向对象的高度结构化的FSM 实现框架 并给出了事件触发转换的调度算法。新框架清晰地表达了FSM中的所有主要元素及它们之间的关系 并将行为部分与结构部分相分离不仅改善了软件的灵活性和重用性而且提高了系统的健壮性与可维护性。
2016-03-22 15:24:311

有限状态机的建模与优化设计

本文提出一种优秀 、高效的 Verilog HDL 描述方式来进行有限状态机设计 介绍了 有限状态机的建模原则 并通过一个可综合的实例 验证了 该方法设计的有限状态机在面积和功耗上的优势。
2016-03-22 15:19:411

VHDL有限状态机设计-ST

EDA的有限状态机,广义而言是指只要涉及触发器的电路,无论电路大小都可以归结为状态机有限状态机设计在学习EDA时是很重要的一章。
2016-06-08 16:46:103

有限状态机在数控系统软件中的应用研究

有限状态机在数控系统软件中的应用研究,感兴趣的小伙伴们可以看看。
2016-07-26 10:43:0620

有限状态机在嵌入式软件中的应用

有限状态机在嵌入式软件中的应用,感兴趣的小伙伴们可以看看。
2016-07-26 10:43:0627

基于有限状态机的五桥臂逆变器改进调制策略_梅杨

基于有限状态机的五桥臂逆变器改进调制策略_梅杨
2017-01-08 13:58:480

使用ModelSim自动生成状态机FSM状态转换图

HDL代码设计中重要的内容之一就是设计程序的状态机FSM状态转换控制着整个程序的流程,为了理解程序,我们经常需要把状态机状态转换图画出来,这样看起来很直观,但是,有没有办法自动生成状态转换图呢?
2017-02-10 15:39:4914477

初学者对有限状态机FSM)的设计的认识

有限状态机FSM)是一种常见的电路,由时序电路和组合电路组成。设计有限状态机的第一步是确定采用Moore状态机还是采用Mealy状态机
2017-02-11 13:51:403881

基于有限状态机Linux多点触摸屏驱动设计刘斌

基于有限状态机Linux多点触摸屏驱动设计_刘斌
2017-03-15 08:00:000

基于存储器映射的有限状态机逻辑实现方法

在FPGA对Flash控制操作中,有限状态机(Finite State Machine,FSM)与多进程描述方式相比有着层次分明、结构清晰、易于修改和移植的明显优势而被广泛应用。传统状态机在描述实现
2017-11-17 02:30:073184

状态机原理进行软件设计

个组成部分。 不过,状态机理论的发展却很缓慢。在众多原因中,状态机只是做为编程实现工具而不是设计工具是一个最重要的原因。 本文的重点就在于,怎样利用状态机原理进行程序设计。本文会先给出普通的、一个平面上的FSM有限状态机)的概念和实例,并指出
2017-12-02 15:03:07413

利用74LS161实现复杂状态机

本文主要介绍了是如何利用74LS161实现复杂状态机的。时序逻辑电路的数学模型是有限状态机有限状态机它把复杂的控制逻辑分解成有限个稳定状态,在每个状态上判断事件,变连续处理为离散数字处理,符合计算机的工作特点。本文主要讨论使用MSI同步计数器74LS161进行复杂状态机的设计。
2018-01-18 09:00:028299

状态机和组合逻辑的冒险竞争浅析

有限状态机(Finite State Machine, FSM),根据状态机的输出是否与输入有关,可分为Moore型状态机和Mealy型状态机。Moore型状态机输出仅仅与现态有关和Mealy
2018-06-25 08:42:003638

Verilog和VHDL的状态机设计技术的详细资料免费下载

设计同步有限状态机FSM)是数字逻辑工程师的共同任务。本文将讨论SimopySesign CPLILRIL1关于FSM设计的各种问题。Verilog和VHDL编码风格将被呈现。将使用真实世界的例子来比较不同的方法。
2018-09-25 08:00:006

状态机概述 如何理解状态机

本篇文章包括状态机的基本概述以及通过简单的实例理解状态机
2019-01-02 18:03:319927

使用层次型有限状态机对售货机控制器实现改造设计

理该事件以及是否需要转换到下一个状态。一个有限状态机FSM)是一个五元组,M=(K,E,T,S,Z)。其中,K是一个有限状态集合,它的每个元素称为“状态”;E表示该系统能接收的所有事件的集合,它的每个
2020-05-03 17:49:002402

状态机常见的3种类型 状态机案例设计

摩尔型的有限状态机的输出只与当前状态有关,而与输入信号的当前值无关,且仅丰时钟信号边沿到来时才发生变化。
2020-08-08 10:57:007841

使用函数指针的方法实现状态机

之前写过一篇状态机的实用文章,很多朋友说有几个地方有点难度不易理解,今天给大家换种简单写法,使用函数指针的方法实现状态机状态机简介 有限状态机FSM有限状态及在这些状态之间的转移和动作等行为
2020-10-19 09:36:532141

如何使用FPGA实现序列检测有限状态机

有限状态机是绝大部分控制电路的核心结构, 是表示有限状态以及在这些状态之间转移和动作等行为的数学模型。有限状态机是指输出取决于过去输入部分和当前输入部分的时序逻辑电路。一般来说, 除了输入部分和
2020-11-04 17:17:0412

基于有限状态机的FlexRay时钟同步机制

工作的能力,其信息传输的确定性离不开其内部的时钟同步机制的支持。时钟同步机制可根据该节点启动的不同工作阶段,定义成不同的工作状态,如初始化、等待接收同步帧等。考虑到传统的FSM方法建立模型存在代码难以复用、维护困难等问题,本文基于量子框架的角度,采用有限状态机的方法对FlexRay时钟同步机制进行研究。
2021-03-31 10:22:272908

有限状态机设计是HDL Designer Series的关键应用

有限状态机的设计是HDL Designer Series™工具的关键应用。 尽可能地对于设计人员编写导致状态机性能不佳的VHDL,可以使用HDL Designer用于生成VHDL的Series™工具
2021-04-08 10:05:233

基于事件驱动的有限状态机介绍

  一、介绍 EFSM(event finite state machine,事件驱动型有限状态机),是一个基于事件驱动的有限状态机,主要应用于嵌入式设备的软件系统中。 EFSM的设计原则是:简单
2021-11-16 15:29:102036

基于STM32F103C8T6的多按键检测 | 有限状态机短按、长按识别 | 标准库函数实现方法

制作航模遥控器需要用到多按键检测,使用有限状态机实现检测短按、长按,修正了原文中的一些错误
2021-11-26 11:21:0436

MCU裸机编程状态机框架--第一部分

MCU裸机编程状态机框架--第一部分1 状态机的概念1.1 状态机的要素1.2 状态迁移表1.3 状态机思路实现一个时钟程序1.4 状态机应用的注意事项1.5 更复杂的状态机FSM编程是裸机编程
2021-12-09 13:21:1917

STM32实现按键有限状态机(超详细,易移植)

STM32实现按键有限状态机(超详细,易移植)一、状态机简而言之,状态机是使不同状态之间的改变以及状态时产生的相应动作的一种机制。1.1状态机的四要素现态:状态机当前状态。触发条件:改变当前状态
2021-12-17 18:37:1025

C语言状态机编程思想

关注、星标公众号,直达精彩内容文章来源:头条-嵌入式在左C语言在右链接:https://www.toutiao.com/i6843028812112855564/有限状态机概念有限状态机...
2022-01-13 13:32:2314

如何以面向对象的思想设计有限状态机

有限状态机又称有限状态自动机,简称状态机,是表示有限状态以及在这些状态之间的转移和动作等行为的数学计算模型,用英文缩写也被简...
2022-02-07 11:23:284

摩尔型状态机与米利型状态机的区别是什么

FSM有限状态机,序列产生,序列检测,是FPGA和数字IC相关岗位必须要掌握的知识点,在笔试和面试中都非常常见。
2022-03-14 17:42:0912848

如何在Verilog中创建有限状态机

本文描述了有限状态机的基础知识,并展示了在 Verilog 硬件描述语言中实现它们的实用方法。
2022-04-26 16:20:012850

介绍一种高效率的c语言状态机

状态机对于有一定编程经验的程序员一定会用到,因为对于我们的各种各样的模块他们都会有各种状态,其他模块都会根据这些状态和数据进行处理;同时在网络编程方面也会根据网络状态和消息类型进行相应处理等等方面状态机的使用是非常广泛的,我们通常称这种状态机有限状态机FSM
2022-08-12 09:07:592461

如何构建基于状态机的软件系统

有限自动机(Finite Automata Machine)是计算机科学的重要基石,它在软件开发领域内通常被称作有限状态机(Finite State Machine),是一种应用非常广泛的软件设计
2022-09-14 10:55:271245

带有有限状态机的机械臂对象收集器

电子发烧友网站提供《带有有限状态机的机械臂对象收集器.zip》资料免费下载
2022-12-27 10:08:220

基于事件驱动的有限状态机介绍

EFSM(event finite state machine,事件驱动型有限状态机),是一个基于事件驱动的有限状态机,主要应用于嵌入式设备的软件系统中。
2023-02-11 10:17:15709

FPGA有限状态机编写如何选择状态编码?

在Verilog HDL中可以用许多种方法来描述有限状态机,最常用的方法是用always语句和case语句。
2023-03-23 14:06:11374

FPGA中有限状态机状态编码采用格雷码还是独热码?

有限状态机是由寄存器组和组合逻辑构成的硬件时序电路,其状态(即由寄存器组的1和0的组合状态所构成的有限状态)只可能在同一时钟跳变沿的情况下才能从一个状态转向另一个状态,究竟转向哪一状态还是留在原状态不但取决于各个输入值,还取决于当前所在状态。这里是指Mealy型有限状态机
2023-04-07 09:52:46909

Verilog状态机的类型

有限状态机(Finite-State Machine,FSM),简称状态机,是表示有限状态以及在这些状态之间的转移和动作等行为的数学模型。
2023-06-01 15:23:391260

LSM6DSOX嵌入式有限状态机的使用和配置

电子发烧友网站提供《LSM6DSOX嵌入式有限状态机的使用和配置.pdf》资料免费下载
2023-07-31 10:55:110

基于LSM6DSOX的FSM状态机的腕部动作识别

电子发烧友网站提供《基于LSM6DSOX的FSM状态机的腕部动作识别.pdf》资料免费下载
2023-07-31 10:23:160

一个基于事件驱动的有限状态机

EFSM(event finite state machine,事件驱动型有限状态机),是一个基于事件驱动的有限状态机,主要应用于嵌入式设备的软件系统中。 EFSM的设计原则是:简单!EFSM的使用者只需要关心:
2023-08-30 09:28:51448

什么是有限状态机有限状态机的四要素介绍

如果一个对象(系统或机器),由若干个状态构成,在某种条件下触发这些状态,会发生状态相互转移的事件,那么此对象称之为状态机
2023-09-17 16:42:341513

BGP有限状态机有哪几种状态

BGP有限状态机共有六种状态,分别是Idle、Connect、Active、OpenSent、OpenConfirm和Established。
2023-10-07 14:56:55897

有限状态机分割设计

有限状态机分割设计,其实质就是一个状态机分割成多个状态机
2023-10-09 10:47:06330

什么是状态机状态机的种类与实现

状态机,又称有限状态机(Finite State Machine,FSM)或米利状态机(Mealy Machine),是一种描述系统状态变化的模型。在芯片设计中,状态机被广泛应用于各种场景,如CPU指令集、内存控制器、总线控制器等。
2023-10-19 10:27:553396

基于有限状态机的车身防盗报警的实现

电子发烧友网站提供《基于有限状态机的车身防盗报警的实现.pdf》资料免费下载
2023-10-26 09:48:480

什么是有限状态机?如何解决传统有限状态机状态爆炸」问题?

有限状态机(Finite State Machine,简称FSM)是一种用来进行对象行为建模的工具,其作用主要是描述对象在它的生命周期内所经历的状态序列以及如何响应来自外界的各种事件。
2024-02-17 16:09:00611

已全部加载完成