0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

通过LPM_ROM模块和VHDL语言为核心设计多功能信号发生器

电子工程师 来源:未知 作者:王淳 2018-10-23 10:05 次阅读

FPGA芯片为载体, 通过QuartusII 的LPM_ROM 模块和VHDL 语言为核心设计一个多功信号发生器,根据输入信号的选择可以输出递增锯齿波、递减锯齿波、三角波、阶梯波和方波等5 种信号,通过QuartusII 软件进行波形仿真、定时分析,仿真正确后,利用实验板提供的资源,下载到芯片中实现预定功能。

信号发生器又称为波形发生器, 是一种常用的信号源,广泛应用于电子电路、通信、控制和教学实验等领域。它是科研及工程实践中最重要的仪器之一, 以往多用硬件组成,系统结构比较复杂,可维护性和可操作性不佳。随着计算机技术的发展,信号发生器的设计制作越来越多的是用计算机技术,种类繁多,价格、性能差异很大。用FPGA 或CPLD 来实现,它的优点是可以进行功能仿真,而且FPGA 和CPLD 的片内资源丰富,设计的流程简单。用FPGA 所构成的系统来产生波形信号,这个系统既能和主机系统相连,用相应的上层软件展示波形信号, 又方便程序的编写, 而且还有A/D0809接口可以产生模拟信号的输出和外面的示波器相连。

1 正弦信号发生器的LPM 定制

正弦信号发生器由计数器或地址发生器(6 位)、正弦信号数据ROM (6 位地址线,8 位数据线, 含有64 个8 位数据, 一个周期)、原理图顶层设计和8 位D/A ( 实验中用DAC0832 代替)。

其框图如图1 所示。其中信号产生模块将产生所需的各种信号,这些信号的产生可以有多种方式,如用计数器直接产生信号输出,或者用计数器产生存储器的地址,在存储器中存放信号输出的数据。信号发生器的控制模块可以用数据选择器实现, 用8 选1 数据选择器实现对5 种信号的选择。

图1 信号发生器结构框图

最后将波形数据送入D/A 转换器,将数字信号转换为模拟信号输出。用示波器测试D/A 转换器的输出,可以观测到5 种信号的输出。

1.1 定制初始化数据文件

QuartusII 能接受的LPM_ROM 模块中的初始化数据文件的格式有两种:。mif 格式文件和。hex 格式文件。实际应用中只要使用其中一种格式的文件即可。下面采用。mif 格式文件,调出产生ROM 数据文件大小的选择窗。根据64 点8 位正弦数据的情况,可选ROM 的数据数Number 为64,数据宽Word size 取8 位。单击OK 按钮,将出现图2 所示的空的。mif数据表格,表格中的数据格式可通过鼠标右键单击窗口边缘的地址数据弹出的窗口选择。

图2 .mif 数据表格

将波形数据填入mif 文件表中也可以使用QuartusII 以外的编辑器设计MIF 文件,其格式如下:

#include

#include "math.h"

main()

{int i;float s;

for (i=0;i<1024; i++)

{ s = sin(atan(1)*8*i/1024);

printf("%d : %d;\n",i,(int)((s+1)*1023/2)); }}

把上述程序编译成程序后, 可在DOS 命令行下执行命令:

romgen > sin_ rom. mif;

1.2 定制LPM 元件

打开Mega Wizard Plug_In Manager 初始对话框, 选择Create a new custom… 项。单击Next 按钮后,选择Storage 项下的LPM_ROM, 再选择ACEX1K 器件和VHDL 语言方式;最后输入ROM 文件存放的路径和文件名:F:\sing_gnt\data_rom (定制的ROM 元件文件名),单击Next 按钮,选择ROM 控制线、地址线和数据线。这里选择地址线位宽和ROM 中数据数分别为6 和64; 选择地址锁存控制信号inclock。

对于地址信号发生器的设计。方法一:用VHDL 语言设计6 位计数器,产生其元件符号;方法二:仍采用LPM 定制的方法。

1.3 完成顶层设计

按图3 画出顶层原理图,然后进行编译,波形仿真如图4所示。

图3 简易正弦信号发生器顶层电路设计

图4 当前工程仿真波形输出

对当前设计通过执行Quartus II 的命令Create ∠ Update/ Create Symbol Files for Current File,可以为设计电路建立一个元件符号,以便被顶层设计多功能信号发生器所调用。

2 其他信号部分原程序

其他各信号发生器可参照正弦信号发生器的设计方法设计或直接采用VHDL 硬件描述语言进行设计。

LIBRARY IEEE;--递增锯齿波的设计

USE IEEE.STD LOGIC 1164.ALL;

USE IEEE.STD LOGIC UNSIGNED.ALL;

ENTITY signal2 IS --递增锯齿波signal1

PORT(clk,reset:IN std_logic;--复位信号reset, 时钟信号clk

q:OUT std_logic_vector (7 DOWNTO 0));--输出信号q

END signal2;

ARCHITECTURE b OF signal2 IS

BEGIN

PROCESS(clk,reset)

VARIABLE tmp:std_logic_vector(7 DOWNTO 0);

BEGIN

IF reset='0' THEN

tmp:="00000000";

ELSIT rising_ege(clk)THEN

IF tmp="11111111"THEN

tmp:="00000000";

ELSE

tmp:=tmp+1; --递增信号的变化

END IF;

END IF;

q<=tmp:

END PROCESS;

END b;

LIBRARY IEEE;--方波的设计

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_UNSIGNED.ALL;

entity signal5 is --方波signal5

PORT(clk,reset:in std_logic; --复位信号reset,时钟信号clk

q:out std_logic_vector (7 DOWNTO 0)); --输出信号q,8 位数字信号

END signal5;

ARCHITEECTURE a OF signal5 IS

SIGNAL; a:std_logic;

BEGIN

PROCESS(clk,reset)

YARIABLE tmp:std_logic_vector(7 downto 0);

BEQIN

IF reset='0' then

a<='0';

elsif rising_edge(clk)THEN

IF tmp="11111111" THEN

tmp:="00000000";

ELSE

tmp:=tmp+1;

END IF;

if tmp<="10000000" then

a<='1';

else

a<='0';

END IF;

END IF;

END PROCESS;

PROCESS(clk,a)

BEGIN

IF rising_edge(clk)THEN

IF a='1' THEN

q<="11111111";

ELSE

q<="00000000";

END IF;

END IF;

END PROCESS;

END a;

3 顶层电路的设计

将上述6 个模块生成符号,供顶层电路调用。这些模块分别是:递减锯齿波信号产生模块signall、递增锯齿波信号产生模块signal2、三角波信号产生模块signal3、阶梯波信号产生模块signal4、方波信号产生模块signal5 和数据选择器mux51。顶层电路的连接如图5 所示。

图5 信号发生器顶层电路

4 D/A 转换器的连接

选择一个D/A 转换器,将数据选择器的输出与D/A 转换器的输入端连接。D/A 转换器的可选范围很宽,这里以常用的DAC0832 为例。DAC0832 的连接电路如图6 所示。

图6 DAC0832 的连接电路

5 实现与测试

信号发生器顶层电路的仿真波形如图7 所示,这里只就输入选择信号等于5 时的情况进行仿真,此时输出波形是方波,输出的数字信号为周期性的全0 或全1。

图7 信号发生器顶层电路的仿真波形

信号发生器的底层电路模块也可以分别进行仿真,例如对阶梯波信号产生模块signal4 进行仿真,仿真波形如图8 所示,输出的数字信号为阶梯状变化。

图8 阶梯波信号产生模块signal4 的仿真波形

6 结束语

硬件电路设计主要是设计相关模块的设计思想的可视化,是相关模块的电路图的汇总和其相关仿真波形的集锦,该部分条理清晰,思路明确,从中我们可以清晰地看到该设计方案的具体模块和整个设计的原理结构实图;程序设计这一部分主要阐述该设计的设计方法与设计思想,进一步从软件设计上揭示设计构思,主要包含了整个设计所用到的模块的硬件描述语言的设计, 本文设计思路清晰,通过QuartusII 软件进行波形仿真成功,特别是正弦信号发生器的LPM 定制对于编程不是特别强的人员提供另一种途径来实现,加深理解EDA 的层次设计思想,很好的把握住了教学的改革方向,更好的锻炼了学生理论联系实践的能力。


声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • FPGA
    +关注

    关注

    1592

    文章

    21207

    浏览量

    592145
  • ROM
    ROM
    +关注

    关注

    4

    文章

    519

    浏览量

    84636
  • vhdl
    +关注

    关注

    30

    文章

    814

    浏览量

    127615
收藏 人收藏

    评论

    相关推荐

    proteus波形发生器怎么找 proteus波形发生器叫什么

    Proteus中的波形发生器以及如何使用它来生成不同类型的波形。 Proteus中的波形发生器模块叫做“Function Generator”,它是一个多功能
    的头像 发表于 02-23 16:49 566次阅读

    信号发生器怎么输出调制信号信号发生器功能和使用方法

    ,并介绍信号发生器功能和使用方法。 一、信号发生器输出调制信号的过程 了解调制
    的头像 发表于 02-23 16:38 423次阅读

    信号发生器是干什么用的 信号发生器的使用方法

    。 一、信号发生器的分类和功能 信号发生器根据其工作原理和功能可以分为多种类型,其中常见的有函数
    的头像 发表于 01-19 14:51 468次阅读

    信号发生器的特点 信号发生器可以产生哪些波形?

    : 1. 多功能信号发生器可以产生多种不同的波形,包括正弦波、方波、锯齿波、三角波、脉冲波等。这些波形可以根据实际需求进行调节和选择,具有较好的灵活性。 2. 大范围输出:信号
    的头像 发表于 12-21 15:05 553次阅读

    信号发生器如何发出双脉冲?

    双脉冲信号,并提供相应的步骤和示例。 1. 确定信号发生器的特性和功能 在使用信号发生器之前,需
    的头像 发表于 12-21 15:03 488次阅读

    信号发生器的占空比是什么 信号发生器占空比怎么设置

    中,占空比的设置对于正确传输和准确控制信号至关重要。 信号发生器的占空比可以通过改变方波的高电平和低电平的持续时间来调节。在绝大多数信号
    的头像 发表于 12-21 14:02 1204次阅读

    基于51单片机的正弦信号发生器的设计

    的正弦信号发生器。 一、51单片机简介 51单片机是以英特尔公司的MCS-51单片机为核心的一族单片机,主要用于嵌入式系统和电子设备上。51单片机内部集成了CPU、RAM、ROM、计时
    的头像 发表于 12-07 16:20 495次阅读

    信号发生器的基本使用方法

    电路信号发生器
    油泼辣子
    发布于 :2023年11月30日 15:26:53

    信号发生器功能 信号发生器的使用方法

    信号发生器是一种用于产生各种类型的电子信号的设备。它被广泛应用于电子测试、仪器校准、电子设备研发等领域。信号发生器能够产生多种波形
    的头像 发表于 10-05 16:04 2626次阅读

    高功率多功能超声波电源发生器

    以U23C施密特反相器为核心的7个元件,构成了三角波发生器。 高功率多功能超声波电源发生器用利用三角波发生器的周期性波动的电压干预SG253
    的头像 发表于 09-11 13:59 236次阅读
    高功率<b class='flag-5'>多功能</b>超声波电源<b class='flag-5'>发生器</b>

    如何设计并实现一个基于FPGA的多功能信号发生器

    多功能信号发生器的原理框图如图所示。其中,CLKGEN是分频器,提供的50MHz的主频率进行分频,以得到满足多功能信号
    发表于 08-25 16:34 1308次阅读
    如何设计并实现一个基于FPGA的<b class='flag-5'>多功能</b><b class='flag-5'>信号</b><b class='flag-5'>发生器</b>?

    EDA程序设计之多功能信号发生器的设计

    1.1 设计要求1.1.1 设计任务 设计并实现一个基于FPGA的多功能信号发生器。1.1.2 性能指标要求1.能够产生两种以上输出波形(正弦波、三角波、锯齿波等)。2.输出的波形的频率允许有多种选择。3.输出波形的幅度在 1V
    发表于 08-22 10:17 0次下载

    多功能信号发生器 #仪器仪表 #万用表 #控制#硬声创作季

    信号发生器
    或许
    发布于 :2023年07月03日 15:07:30

    基于FPGA的信号发生器系统结构分析

     基于运用EDA技术,以FPGA器件为,用Verilog HDL硬件描述语言来设计各个功能模块,采用DDS直接数字频率合成技术设计信号发生器通过
    的头像 发表于 04-14 15:15 680次阅读