0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

三星电子成功完成背面供电网络芯片测试,或将提早应用于未来制程

微云疏影 来源:综合整理 作者:综合整理 2024-02-28 16:03 次阅读

据韩国媒体Chosunbiz透露,三星电子近日在背面供电网络(BSPDN)芯片实验测试中取得了显著成效,有望提早应用到新制程节点。

过去,芯片主要通过自下而上的方法制造,先构建晶体管,然后搭建互相连接以及供给电能的线路层。然而,随着工艺制程的不断缩小,传统供电模式的线路层变得更为复杂,这给设计与生产带来了困扰。

BSPDN技术创新性地将芯片电路转移到晶圆背部,使路劲大大简化,有效解决了互联的难题,降低了电能对信号的影响,从而极大降低了平台的总体电压及功耗。此外,这尤其适合于水星在移动设备SoC的小型化需求。

报道中提到,三星电子在测试的两款ARM内核规格芯片上进行了实验,结果表明,虽然芯片尺寸分别减小了10%和19%,但性能及频率效率的提升幅度均未超过10%。

鉴于进展顺利,原本计划在2027年左右的1.7纳米(此处存疑,根据其他报道应为1.4纳米)工艺实现商业化的BSPN技术可能会提前,或许会在明年的2nm工艺得到应用。

三星电子的行业竞争对手台积电和英特尔亦已展开背面供电领域的深入研究:前者预计在2025年推出基于 ByteArray的背面供电方案N2;后者则计划从今年的20A节点开始采用自研的PowerVia技术。

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 芯片
    +关注

    关注

    447

    文章

    47821

    浏览量

    409207
  • 三星电子
    +关注

    关注

    34

    文章

    15605

    浏览量

    180129
  • 晶体管
    +关注

    关注

    77

    文章

    9058

    浏览量

    135242
收藏 人收藏

    评论

    相关推荐

    背面供电成先进制程竞争又一技术高地,英特尔先发制人,台积电、三星加码跟进

    的逻辑半导体具有10至15层甚至更多的多层布线,细信号线和粗电源线混合在多层布线中,线路层越来越混乱。为了解决芯片设计线路层混乱的问题,背面供电网络BSPDN技术的应用受到越来越多的关注。   探索
    的头像 发表于 09-03 00:01 1642次阅读
    <b class='flag-5'>背面</b><b class='flag-5'>供电</b>成先进<b class='flag-5'>制程</b>竞争又一技术高地,英特尔先发制人,台积电、<b class='flag-5'>三星</b>加码跟进

    台积电2023年报预告:2026年N2制程量产,首推背面供电

    传统芯片制造方式是自下而上,先制作晶体管,然后构建互联和供电线路层。然而,随着制程工艺的不断缩小,传统供电模式的线路层变得愈发复杂,给设计和制造带来困扰。
    的头像 发表于 04-25 14:43 134次阅读

    三星有望提前背面供电技术导入未来制程节点

    行业芯事
    电子发烧友网官方
    发布于 :2024年02月29日 11:49:50

    英特尔宣布完成PowerVia背面供电技术的开发

    英特尔在2023年国际电子设备制造大会上宣布,他们已经成功完成了一项名为PowerVia的背面供电技术的开发。这个技术是基于英特尔的最新晶体
    的头像 发表于 12-11 16:10 529次阅读
    英特尔宣布<b class='flag-5'>完成</b>PowerVia<b class='flag-5'>背面</b><b class='flag-5'>供电</b>技术的开发

    2023年10月21日芯片价格信息差《三星内存条》#采购#华强北#内存#集成电路#三星内存条#

    内存三星
    深圳市石芯电子有限公司
    发布于 :2023年10月21日 11:14:12

    #美国 #三星 美国彻底放弃卡脖子吗?美国同意三星电子向中国工厂提供设备!

    三星电子
    深圳市浮思特科技有限公司
    发布于 :2023年10月11日 13:47:16

    背面供电选项:一项DTCO研究

    ,整个配电网络被移至晶圆的背面。硅通孔(TSV)将电源直接从背面传送到正面,而无需电子穿过芯片正面上日益复杂的后道工序(BEOL)堆栈。 图
    的头像 发表于 09-05 16:39 512次阅读
    <b class='flag-5'>背面</b><b class='flag-5'>供电</b>选项:一项DTCO研究

    背面电力传输 下一代逻辑的游戏规则改变者

    背面电力传输打破了在硅晶圆正面处理信号和电力传输网络的长期传统。通过背面供电,整个配电网络被移至晶圆的
    的头像 发表于 08-30 10:34 590次阅读
    <b class='flag-5'>背面</b>电力传输 下一代逻辑的游戏规则改变者

    颠覆传统PFC制程工艺的FDC应用于CCS

    颠覆传统PFC制程工艺的FDC应用于CCS
    的头像 发表于 07-10 10:00 9402次阅读
    颠覆传统PFC<b class='flag-5'>制程</b>工艺的FDC<b class='flag-5'>应用于</b>CCS

    三星2nm,走向背面供电

    背面实施流程已通过成功的 SF2 测试芯片流片得到验证。这是 2nm 设计的一项关键功能,但可能会受到三星、英特尔和台积电缺乏布线的限制,而
    的头像 发表于 07-05 09:51 501次阅读

    英特尔在芯片中实现背面供电

    英特尔表示,它是业内第一个在类似产品的测试芯片上实现背面供电的公司,实现了推动世界进入下一个计算时代所需的性能。PowerVia 将于 2024 年上半年在英特尔 20A 工艺节点上推
    的头像 发表于 06-20 15:39 370次阅读

    英特尔PowerVia技术率先实现芯片背面供电,突破互连瓶颈

    英特尔宣布在业内率先在产品级测试芯片上实现背面供电(backside power delivery)技术,满足迈向下一个计算时代的性能需求。作为英特尔业界领先的
    的头像 发表于 06-09 20:10 209次阅读

    英特尔PowerVia技术率先实现芯片背面供电,突破互连瓶颈

    英特尔率先在产品级芯片上实现背面供电技术,使单元利用率超过90%,同时也在其它维度展现了业界领先的性能。 英特尔宣布在业内率先在产品级测试芯片
    的头像 发表于 06-06 16:22 347次阅读

    NVIDIA仍不死心,再次加入ARM站场

    。竞争对手三星早与另一间GPU大厂AMD合作,将其图形技术带入到手机上,具备了硬件加速光线追踪和可变速率着色功能。虽然首款产品Exynos 2200并不算很成功,但三星未来必然会延续这
    发表于 05-28 08:51