0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

如何在下载Bitstream后自动触发ILA采集

XILINX开发者社区 来源:XILINX开发者社区 2024-02-23 09:45 次阅读

本文作者:AMD 工程师Zhang Cheng

硬件调试时,经常需要用 ILA 采集一些 FPGA 内部或者对外的初始化信号,然而在下载完 Bitstream 后立即采集这些变化稍纵即逝的信号,比如在 1uS 内手动触发 ILA 采集信号,以普通人的手速显然是无能为力的。有的变通方法比如在程序中加入一定的延时量或者外部按键做触发 ILA 采集也可以实现。但是某些应用场景下,初始化必须在上电后的一定时间范围内完成。本文将介绍一种可适用于上述场景的方法,即在下载 Bitstream 后自动触发 ILA 采集,为硬件调试提供更多便利。

调试方法:

1. 设备信息

操作系统:Win10
硬件平台:Xilinx VCU118开发板
软件版本:AMD Vivado2022.1


2. 代码分析

132a642e-d173-11ee-a297-92fbcf53809c.png

当 MMCM 初始化完成,Locked 信号置1后,Init_Buf 开始计数,当 Init_Buf 等于 0x0E 时,Dout_Init 置1触发 Data_Buf 开始计数,最终控制 Dout 输出5个脉冲。整个过程大约在 1uS 内结束,普通人的手速是无法在如此短的时间内采集到这组信号。

3. Vivado 操作步骤

打开 Vivado,新建工程按照常规流程完成 synthesis 后,点击 Setup Debug,将 Data_Buf, Dout, MMCM_locked, Dout_Init, Init_Buf 这些信号加入到 ILA 中,设置 100MHz 的时钟作为 ILA 的采集时钟。

Implementation 后 Generate Bitstream 并且下载到 FPGA。

此时可以看到之前连接到 ILA 的信号都已经显示,设置 ILA 的触发条件,本工程设置为当 Dout_Init =1 时触发 ILA 采集。

运行

cdc:/ILA_Startup/ILA_Startup.runs/impl_1/

粗体部分可设置为任意的工程路径,这样便于在生成 bit 以后找到对应的文件。

在 Tcl Console 窗口输入:

run_hw_ila -file ila_trig.tas [get_hw_ilas hw_ila_1] -force

Open implementation design,在Tcl窗口输入:apply_hw_ila_trigger ila_trig.tas

在Tcl窗口输入:

write_bitstreamc:/ILA_Startup/ILA_Startup.runs/impl_1/trig_at_startup.bit -force

粗体部分可设置为任意的工程路径。

把新生成的 trig_at_startup.bit 通过 JTAG 下载到器件,下载完成后可以看到 ILA 将自动触发采集信号,如下图所示:

133e0362-d173-11ee-a297-92fbcf53809c.png

注意事项:

改变 ILA 的触发条件后,需要重复上面 Step4- Step7 的步骤。

改变 ILA 的信号连接后,需要重复上面 Step2- Step7 的步骤。

审核编辑:汤梓红

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • FPGA
    +关注

    关注

    1603

    文章

    21328

    浏览量

    593251
  • 操作系统
    +关注

    关注

    37

    文章

    6289

    浏览量

    121897
  • 开发板
    +关注

    关注

    25

    文章

    4436

    浏览量

    94053
  • ILA
    ILA
    +关注

    关注

    0

    文章

    5

    浏览量

    3506

原文标题:开发者分享|AMD Vivado Hardware Debug 技巧-如何在下载 Bitstream 后自动触发 ILA 采集

文章出处:【微信号:gh_2d1c7e2d540e,微信公众号:XILINX开发者社区】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    如何实现ILA Cross Trigger

    ILA Cross Triggering功能使得ILA核心之间、以及ILA核心与处理器(例如,AMD Zynq 7000 SoC)之间可以进行Cross Trigger。这个功能在你需要在不同时钟域的两个
    的头像 发表于 11-30 10:17 672次阅读
    如何实现<b class='flag-5'>ILA</b> Cross Trigger

    一个ICON下两个ila如何同时触发

    请问大家,在一个ICON下做了两个ila,使用的是不同的时钟域。请问该如何同时触发呢?非常感谢!
    发表于 11-25 08:49

    chipscope里一个ICON核下建了2个ila如何同时触发

    请问大家,在一个ICON下做了两个ila,使用的是不同的时钟域。请问该如何设置同时触发呢?非常感谢!
    发表于 11-25 08:57

    请问怎么在Vivado中保存Bitstream文件?

    的所有这些比特流文件,然后一段时间我可以将它下载到芯片中,以便确保哪一个与参数的哪个值相关。谢谢你的关注Meysam以上来自于谷歌翻译以下为原文Dear All, How to save
    发表于 03-19 10:43

    何在下载flash图像时从IAR编程选项字节

    大家好! 有没有人知道,如何在下载flash图像时从IAR编程选项字节,就像它在STVP中实现的那样?也许,使用一些脚本来自动化。我需要编程几百个uCs,每次手动设置这些字节都会
    发表于 04-24 15:11

    如何使用AXI配置的ILA调试PCIe AXI接口?

    认为综合提出了一个警告,说它删除了user_clk,或者那种性质的东西。当我切换到系统时钟时,我的负余量从15ps变为-4ns(但它表示0路径失败)。 c)失败的设置时间会导致ILA触发吗?我应该设置
    发表于 09-25 09:26

    为什么在ILA中找不到信号?

    (m_axis_data_tlast_fft405),//输入线[0:0] probe2 .probe3(debug_FFTdata)//输入线[63:0] probe3 ); 下载比特流,可以看到ILA IP核。但是清单中没
    发表于 10-10 05:57

    LabVIEW如何控制工业相机软触发采集图像

    。2)软件触发模式:通过sdk软件方式给相机触发信号重新曝光,曝光完成输出图像;3)硬件触发模式:通过外部电路方式给相机触发信号重新曝光,
    发表于 05-17 11:18

    Vivado在线调试方法-Vivado内嵌逻辑分析仪器的使用

    生成bit文件。III、下载程序连接开发板,下载程序。点击Program device,出现了bit文件和ltx文件。点击Program,自动出现在线调试窗口。此时可以看到,采样深度
    发表于 04-06 21:48

    JLINK在下载程序的过程中怎么实现插入目标板自动下载

    Jlink在下载程序的过程中怎么实现插入目标板自动下载
    发表于 10-11 06:31

    什么是D-ILA投影技术

    什么是D-ILA投影技术 D-ILA(Direct-Drive Image Light Amplifier,直接驱动图像光源放大器)技术。D-ILA技术在提供高分辨率和高对比度方面显示了技术优势,
    发表于 02-05 10:42 644次阅读

    Vivado中关于ILA的详解

    集成逻辑分析仪 (Integrated Logic Analyzer :ILA) 功能允许用户在 FPGA 设备上执行系统内调试后实现的设计。当设计中需要监视信号时,应使用此功能。用户还可以使用此功能在硬件事件和以系统速度捕获数据时触发
    的头像 发表于 02-08 11:35 2.3w次阅读
    Vivado中关于<b class='flag-5'>ILA</b>的详解

    Vivado之ILA详解

    集成逻辑分析仪 (Integrated Logic Analyzer :ILA) 功能允许用户在 FPGA 设备上执行系统内调试后实现的设计。当设计中需要监视信号时,应使用此功能。用户还可以使用此功能在硬件事件和以系统速度捕获数据时触发
    发表于 01-22 07:52 19次下载
    Vivado之<b class='flag-5'>ILA</b>详解

    何在Vivado下设置BITSTREAM配置信息

    首先我们看一下如何在Vivado下设置BITSTREAM配置信息。这可以在综合之后进行。借助如下操作: 打开综合后的设计 依次点击Tools-》 Edit Device Properties 会弹
    的头像 发表于 06-15 14:26 5571次阅读
    如<b class='flag-5'>何在</b>Vivado下设置<b class='flag-5'>BITSTREAM</b>配置信息

    ILA工作原理 ILA使用方法与注意

    不一致,从而出现Bug。一种debug的方式就是用FPGA工具提供的ILA模块(xilixn在ISE中叫:chipscope),来实时抓取FPGA内部数字信号的波形,分析逻辑错误的原因,帮助debug。 ILA
    的头像 发表于 08-09 14:12 1.5w次阅读
    <b class='flag-5'>ILA</b>工作原理 <b class='flag-5'>ILA</b>使用方法与注意