0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

Vivado中关于ILA的详解

电子设计 来源:电子设计 作者:电子设计 2022-02-08 11:35 次阅读

本文转载自:ZYNQ微信公众号

ILA 简介

集成逻辑分析仪 (Integrated Logic Analyzer :ILA) 功能允许用户在 FPGA 设备上执行系统内调试后实现的设计。当设计中需要监视信号时,应使用此功能。用户还可以使用此功能在硬件事件和以系统速度捕获数据时触发。

添加 ILA

1.使用 IP 添加

添加IP

例化IP,并将所需要的信号放入 Probe 里面

o4YBAGAKAO2AXoT_AAGb3gZWB9k492.png

2.图形界面添加

给待测试的信号加上约束,防止被优化

pIYBAGAKAS2AVgW2AAHuTJTUOEo819.png

在综合选项下点击set up debug,选择需要查看的信号即可

ILA 数据和波形的关系

1. hw_ila表示的是ila核

2. hw_ila_data表示保存在存储器中的ila文件

3. WCFG是波形配置(信号颜色,总线进制表示,信号顺序,marker等)

4. WDB是波形数据库(波形数据)

o4YBAGAKAdmAJw2HAAB-oS3vvd4207.png

ILA 失败情景

情景1:没有波形窗口

现象如下:

pIYBAGAKAhuATgtiAADvK88-bQE073.png

WARNING: [Labtools 27-3123] The debug hub core was not detected at User Scan Chain 1 or 3.

Resolution:

1. Make sure the clock connected to the debug hub (dbg_hub) core is a free running clock and is active OR

2. Manually launch hw_server with -e “set xsdb-user-bscan ” to detect the debug hub at User Scan Chain of 2 or 4. To determine the user scan chain setting, open the implemented design and use: get_property C_USER_SCAN_CHAIN [get_debug_cores dbg_hub]。

WARNING: [Labtools 27-1974] Mismatch between the design programmed into the device xc7z010_1 and the probes file E:/Xilinx/example/dma_sg_m/dma_sg_m.runs/impl_1/debug_nets.ltx.

The device design has 0 ILA core(s) and 0 VIO core(s)。 The probes file has 1 ILA core(s) and 0 VIO core(s)。

Resolution:

1. Reprogram device with the correct programming file and associated probes file OR

2. Goto device properties and associate the correct probes file with the programming file already programmed in the device.

解决方法:查看 ILA 的时钟,如果不是自由时钟会出现这种问题,然后重启VIVADO软件,重新打开

情景2:有波形窗口没有波形

现象如下:

使用示波器查看ILA信号发现时钟频率发现是10M

分析:查看 Xilinx 手册发现JATG的时钟频率要比被ILA的时钟频率2.5倍低

o4YBAGAKAyeAYEc9AAKmVxGNi7U245.png

解决方法1:修改 Hardware Target 的 JTAG 时钟频率

o4YBAGAKA2-AZ_kKAAdUTdRgiwQ497.png

解决方法2:在TCL里面添加约束

o4YBAGAKA8CAAhu1AAmRY9Bw9CE462.png

上电后查看 ILA 信号

使用场景:flash偶尔在上电后读出来后出错,可以使用该方法。

一般情况下都是让要抓的事件延迟发生或者循环发生,方便调试。

pIYBAGAKA_-AEtkXAADe1QxIwHM642.png

如果实在要抓启动时的事件,按下面的步骤:(下面流程是ILA核在综合阶段不能浮空)

先把有ILA核的bit文件下进去,设置触发好条件

运行下面的Tcl命令把触发寄存器的值保存在tas文件中

%run_hw_ila -file ila_trig.tas [get_hw_ilas hw_ila_1]

打开实现后的implement工程

运行下面的Tcl命令把触发设置加到当前内存里已经布线的implement设计上去

%apply_hw_ila_trigger ila_trig.tas

注意:如果发生错误的话说明ILA核在综合过程中被flattened了,这时需要设置保留hierarchy重新综合实现。

直接用Tcl命令生成bit文件,不能点击生成bit命令,这样工程会认为implement发生了改动而全部重新布线。

write_bitstream trig_at_startup.bit

下载bit到芯片

参考链接

chrome-extension://ibllepbpahcoppkjjllbabhnigcbffpi/https://www.xilinx.com/support/documentation/sw_manuals/xilinx2020_1/ug9.。.

chrome-extension://ibllepbpahcoppkjjllbabhnigcbffpi/https://www.xilinx.com/support/documentation/sw_manuals/xilinx2020_1/ug9.。.

审核编辑:何安

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • Vivado
    +关注

    关注

    18

    文章

    790

    浏览量

    65125
收藏 人收藏

    评论

    相关推荐

    使用Python提取ILA数据的流程

    ILA应该是调试AMD-Xilinx FPGA最常用的IP。
    的头像 发表于 05-01 10:43 113次阅读
    使用Python提取<b class='flag-5'>ILA</b>数据的流程

    如何在下载Bitstream后自动触发ILA采集

    在硬件调试时,经常需要用 ILA 采集一些 FPGA 内部或者对外的初始化信号,然而在下载完 Bitstream 后立即采集这些变化稍纵即逝的信号,比如在 1uS 内手动触发 ILA 采集信号
    的头像 发表于 02-23 09:45 450次阅读
    如何在下载Bitstream后自动触发<b class='flag-5'>ILA</b>采集

    如何实现ILA Cross Trigger

    ILA Cross Triggering功能使得ILA核心之间、以及ILA核心与处理器(例如,AMD Zynq 7000 SoC)之间可以进行Cross Trigger。这个功能在你需要在不同时钟域的两个
    的头像 发表于 11-30 10:17 692次阅读
    如何实现<b class='flag-5'>ILA</b> Cross Trigger

    Vivado Design Suite 用户指南:编程和调试

    Vivado Design Suite 用户指南:编程和调试》 文档涵盖了以下设计进程: 硬件、IP 和平台开发 : 为硬件平台创建 PL IP 块、创建 PL 内核、功能仿真以及评估 AMD
    的头像 发表于 10-25 16:15 448次阅读
    <b class='flag-5'>Vivado</b> Design Suite 用户指南:编程和调试

    集成逻辑分析仪(ILA)的使用方法

    两大主流厂商的软件集成逻辑分析仪供使用,Altera的Quartus自带SignalTap、Xilinx的Vivado自带ILA逻辑调试工具。
    的头像 发表于 10-01 17:08 1883次阅读
    集成逻辑分析仪(<b class='flag-5'>ILA</b>)的使用方法

    vivado使用误区与进阶资料

    想到要写这一系列关于工具和方法学的小文章是在半年多前,那时候Vivado®已经推出两年,陆续也接触了不少客户和他们的设计。我所在的部门叫做“Tools & Methodology
    发表于 09-20 06:31

    Vivado设计套件用户:使用Vivado IDE的指南

    电子发烧友网站提供《Vivado设计套件用户:使用Vivado IDE的指南.pdf》资料免费下载
    发表于 09-13 15:25 6次下载
    <b class='flag-5'>Vivado</b>设计套件用户:使用<b class='flag-5'>Vivado</b> IDE的指南

    08 6_工具篇_Vivado软件的硬件调试:ILA的使用(第一讲) - 第6节

    软件函数代码Vivado
    充八万
    发布于 :2023年08月18日 04:27:45

    如何利用ila(逻辑分析仪)调试nice?

    仿真和生成mcs文件后运行的结果如下(仿真的结果和实际传回的数据结果不同,并且相同的c程序前后两次传回的结果都不同),目前想用ila调试,看错在那一步了,但ila一直不出波形,三种加debug的方法
    发表于 08-16 06:37

    E203移植到自己开发板之后,ila抓取信号,IFU模块输出的ir和pc不对应的原因?

    释,如下图所示,IFU输出的pc和ir应该是对应的,也就是输出的pc位置的指令应该是ir,这和实际的仿真波形也是一致的。 图1 ppt关于IFU输出信号的说明 图2 反汇编文本pc和指令
    发表于 08-12 07:26

    蜂鸟E203 V2的FPGA实现之后,上板测试想用ila抓取内部信号没有波形是为什么?

    RISC-V 蜂鸟E203平台在黑金的AX7050上移植搭建,想查看IFU模块的内部信号,于是调用ila查看,却发现没有任何波形输出,FPGA实现是可以正常run编译之后可执行文件的。图1是用ila
    发表于 08-12 06:25

    vivado仿真流程

    vivado开发软件自带了仿真工具,下面将介绍vivado的仿真流程,方便初学者进行仿真实验。
    的头像 发表于 07-18 09:06 2585次阅读
    <b class='flag-5'>vivado</b>仿真流程

    如何使用Python脚本调试赛灵思PCIe设计?

    现在,您不仅可以使用 Python 脚本执行调试分析,更重要的是,借由 Vivado ILA 所生成的 ILA 文件可以进一步简化此操作。通过将 *.ila 扩展名重命名为 *.zip
    的头像 发表于 06-26 09:20 708次阅读
    如何使用Python脚本调试赛灵思PCIe设计?

    Vivado中实现ECO功能

    关于 Tcl 在 Vivado中的应用文章从 Tcl 的基本语法和在 Vivado 中的 应用展开,继上篇《用 Tcl 定制 Vivado 设计实现流程》介绍了如何扩展甚 至是定制 F
    的头像 发表于 05-05 15:34 1808次阅读
    在<b class='flag-5'>Vivado</b>中实现ECO功能

    用TCL定制Vivado设计实现流程

    今天推出Xilinx已发布的《Vivado使用误区与进阶》系列:用TCL定制Vivado设计实现流程。
    的头像 发表于 05-05 09:44 743次阅读
    用TCL定制<b class='flag-5'>Vivado</b>设计实现流程