0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

物理约束实践:I/O约束

FPGA快乐学习 来源:FPGA快乐学习 2023-11-18 16:42 次阅读

8d5f3240-85e9-11ee-939d-92fbcf53809c.jpg

I/O约束(I/O Constraints)包括I/O标准(I/OStandard)约束和I/O位置(I/O location)约束。

以Xilinx Vivado开发工具为例,点击OpenImplemented Design,打开实现设计(Implemented Design)页面。接着如图1所示,在菜单上点击Layout-> I/O Planning,打开I/O约束页面。

8d6eb242-85e9-11ee-939d-92fbcf53809c.jpg

图1I/OPlanning菜单

I/O约束页面如图2所示,在该页面中,Allports一列中按照总线的方式进行分类,可以进一步展开看到每一个具体的I/O引脚的约束信息。这里的I/O标准约束包括了电平标准(I/O Std)、输出驱动强度(Drive Strength)、上下拉类型(Pull Type)、偏斜率类型(Slow Type)、输入终端阻抗(IN_TERM)等。由于I/O标准和FPGA外部所连接的外设芯片息息相关,每个外设芯片所对应的I/O标准不同,在FPGA中的约束设置也有所不同。

8d7f3ab8-85e9-11ee-939d-92fbcf53809c.jpg

图2I/O约束页面

如图3所示,展开一组名为ddr3_ba的总线,其下的3个I/O引脚详细的约束信息都一目了然。Package Pin一列即I/O的位置约束信息,通常对应着一些字母和数字组合的位置代号,如图示的B15、A15、C16,即FPGA器件封装上对应着的具体的物理引脚的代号。通过这样的位置约束,就将FPGA顶层模块代码中的I/O信号和器件封装上的引脚映射在一起了。

8d838bcc-85e9-11ee-939d-92fbcf53809c.jpg

图3I/O位置约束

审核编辑:汤梓红

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • FPGA
    +关注

    关注

    1602

    文章

    21320

    浏览量

    593194
  • Xilinx
    +关注

    关注

    70

    文章

    2121

    浏览量

    119373
  • 引脚
    +关注

    关注

    16

    文章

    1044

    浏览量

    48958
  • 约束
    +关注

    关注

    0

    文章

    82

    浏览量

    12637
  • Vivado
    +关注

    关注

    18

    文章

    790

    浏览量

    65100

原文标题:物理约束实践:I/O约束

文章出处:【微信号:FPGA快乐学习,微信公众号:FPGA快乐学习】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    Xilinx FPGA管脚物理约束介绍

    引言:本文我们简单介绍下Xilinx FPGA管脚物理约束,包括位置(管脚)约束和电气约束
    发表于 07-25 10:13 4279次阅读

    FPGA物理约束之布局约束

    在进行布局约束前,通常会对现有设计进行设计实现(Implementation)编译。在完成第一次设计实现编译后,工程设计通常会不断更新迭代,此时对于设计中一些固定不变的逻辑,设计者希望它们的编译结果
    的头像 发表于 01-02 14:13 538次阅读
    FPGA<b class='flag-5'>物理</b><b class='flag-5'>约束</b>之布局<b class='flag-5'>约束</b>

    FPGA时序约束的几种方法

    不是最完整的时序约束。如果仅有这些约束的话,说明设计者的思路还局限在FPGA芯片内部。 2. 核心频率约束+时序例外约束+I/
    发表于 12-27 09:15

    时序约束资料包

    、Vivado基本操作流程2、时序基本概念3、时序基本约束和流程4、Baselining时序约束5、CDC时序约束6、I/O时序7、例外时序
    发表于 08-01 16:45

    物理约束之IO约束

    [get_ports {data_out[0]}]set_propertyIOSTANDARD LVCMOS33 [get_ports {data_out[0]}]也可在综合之后,打开右上角小窗口,选择I/O Planning进行约束
    发表于 09-21 13:17

    物理约束之布局约束

    1. 单元布局约束set_property BELGTHE2_CHANNEL[get_cellsswitch_v2_i/srio_0/srio_gen2_0_inst
    发表于 09-26 15:32

    如何约束内部生成的i2s o时钟?

    正确。我的问题是 - 1)警告可以被忽略吗?如果没有,我怎么能摆脱上述警告?我只在CPLD实施的情况下看到它。如果我将设备更改为virtex FPGA,警告就会消失2)如何约束内部生成的i2s_o时钟
    发表于 04-12 14:24

    请问物理约束文件ADC可做哪些约束

    物理约束文件ADC可做哪些约束
    发表于 08-11 08:37

    Adam Taylor玩转MicroZed系列74:物理约束

    研究了相关的时序约束后,在设计中我们也不能忽视所能运用到的物理约束。一个工程师最常用的物理约束是I/O管脚的放置和与每个I/O脚相关的参数定
    发表于 02-08 02:20 223次阅读
    Adam Taylor玩转MicroZed系列74:<b class='flag-5'>物理</b><b class='flag-5'>约束</b>

    XDC约束物理约束的介绍

    观看视频,了解和学习有关XDC约束,包括时序,以及物理约束相关知识。
    的头像 发表于 01-07 07:10 5618次阅读
    XDC<b class='flag-5'>约束</b>及<b class='flag-5'>物理</b><b class='flag-5'>约束</b>的介绍

    简述Xilinx FPGA管脚物理约束解析

    引言:本文我们简单介绍下Xilinx FPGA管脚物理约束,包括位置(管脚)约束和电气约束
    的头像 发表于 04-27 10:36 3209次阅读
    简述Xilinx FPGA管脚<b class='flag-5'>物理</b><b class='flag-5'>约束</b>解析

    Gowin设计物理约束用户指南

    电子发烧友网站提供《Gowin设计物理约束用户指南 .pdf》资料免费下载
    发表于 09-15 16:07 0次下载
    Gowin设计<b class='flag-5'>物理</b><b class='flag-5'>约束</b>用户指南

    物理约束实践:网表约束MARK_DEBUG

    以STAR FPGA开发板中的at7_ex10工程为例,这个工程实现UART传输的loopback功能。该实例中使用在线逻辑分析仪希望探测到FPGA端接收并进行串并转换过程中所设计的一些主要信号。
    的头像 发表于 11-03 11:51 2448次阅读

    物理约束实践:网表约束DONT_TOUCH

    信号,此时就可以使用DONT_TOUCH约束达到保留这些信号的目的。又如,有时在设计中会对一些高扇出的信号进行手动的逻辑复制,也可以使用DONT_TOUCH约束避免它们被优化掉。 工程实践   下面有一个简单的例子,看看DONT
    的头像 发表于 11-12 14:14 2146次阅读

    物理约束实践:网表约束LOCK_PINS

    话说网表约束中的CLOCK_DEDICATED_ROUTE、MARK_DEBUG和DONT_TOUCH,在实际工程中常常都可能遇上。MARK_DEBUG和DONT_TOUCH在设计和调试中可能常常
    的头像 发表于 11-28 15:24 839次阅读