0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

微纳制造技术:定向自组装(DSA)终于找到了立足点

jf_BPGiaoE5 来源:光刻人的世界 2023-08-22 18:11 次阅读

十年前,定向自组装(DSA)迎来了一个充满希望的开端,随后又在实验室里苦苦挣扎了数年,如今,DSA 领域突然发展得更加迅速。尽管 DSA 尚未用于生产,但对新工艺流程和更高质量聚合物的研究可以在重复结构中比以往更精细地控制特征尺寸和图案。

图:2020版国际设备和系统路线图(IRDS)

十年前,当业界努力开发极紫外光刻(EUV)技术时,定向自组装 (DSA) 迅速成为几乎所有决心扩展 193i 极限的制造商的研发前沿。

然而,与半导体行业中的许多新技术一样,对DSA 能够解决重大行业挑战的潜力的承诺和兴奋,很快就遇到了其自身难以克服的挑战。仅举了几个例子,缺陷控制、可扩展性以及与现有工作流程集成的复杂性阻碍了进度。

喧嚣声平息,DSA 被大多数公司搁置,尤其是当EUV生产接近时。

但 DSA 最初针对的问题(例如在当前光刻技术的极限下改进图案精度)并没有消失。此外,一些新的问题正在出现,例如最先进的 EUV 节点中的随机缺陷。Fractilia, LLC 首席执行官Chris Mack表示,随机因素可能占大批量制造 (HVM) EUV 图案总误差预算的 50% 以上。[2]

“对 DSA 的普遍批评是,由于结构的自组装性质,很难控制缺陷,”imec 研发团队负责人 Hyo Seon Suh 说道。“但 EUV 的随机缺陷也难以控制,这就是为什么许多行业以及我们的核心芯片制造商合作伙伴再次将 DSA 视为纠正随机问题的可行选择。”

英特尔的发言人表示,该公司目前正在寻求多种利用定向自组装(DSA)的集成工艺流程。“我们在 SPIE 等会议上公开讨论过的一个工艺流程是使用 DSA 进行 EUV 光刻胶校正。DSA 可以从根本上改善 EUV 光刻固有的系统性和随机性变化。利用这项技术,英特尔展示了 DSA 增强型 EUV 多重图案化方法,最终金属间距为 18 纳米,并具有强大的电气性能。”

其他人也同意。Brewer Science首席技术官Rama Puligadda表示:“DSA即将回归 。” “但以一种非常不同的形式。它被用来辅助EUV,不是为了改进间距,而是为了矫正线条。”

定向自组装(DSA)通常被描述为共聚物材料自组装以在半导体基板上形成纳米级分辨率图案的过程。虽然这是一个准确的描述,但它没有提供有关如何完成此过程以及为什么这些共聚物材料会产生这样的行为的详细信息。对该过程进行稍微简化的解释有助于理解 DSA 技术如何使关键尺寸(Critical Dimension,简称CD) 分辨率受益并为较低节点提供修复。

分离的科学——从沙拉酱到半导体

如油和醋由于不相容的性质而分离成不同的层一样,DSA中使用某些聚合物也有类似的行为,但在纳米尺度上。DSA通常结合苯苯乙烯(S)结合形成了聚甲基纤维素(PS),与甲基纤维素(MMA)结合形成了聚甲基纤维素(PMMA)。

DSA 中通常使用的聚合物(例如聚苯乙烯和 PMMA)旨在进行化学相分离,类似于油和醋。与后者的分离是均匀的不同,在 DSA 中,这些聚合物的分离可以被精心控制,从而产生精确的纳米级结构。

图 1:PS-b-PMMA 嵌段共聚物的代表。每个嵌段的长度由每个嵌段中单体的数量控制,是螺距的决定因素。资料来源:半导体工程/Gregory Haley

PS通常通过硅氧烷聚合合成。这涉及使用钠或钾等强碱来形成负离子,碳负离子在碳增长中发挥了至关重要的作用。硅氧烷聚合提供了具有精确控制的制造特定长度和麦克风的能力。聚合物的理想选择。另外,PMMA可以通过原子转移聚合或原子转移聚合(ATRP)来合成。虽然与PS合成不同,但这两种工艺都旨在制造具有特定性能的聚合物。这很重要,因为 DSA 过程中获得结构的形状是由这些聚合物的最终结构决定的。[3]

PS-b-PMMA共聚物

当使用 ATRP 等技术合成时,PS 和 PMMA 聚合物形成嵌段共聚物 (BCP) PS-b-PMMA。PS 和 PMMA 的嵌段在聚合物链内共价连接,但由于化学性质不同,它们分成不同的域(见图1)。

PS也是疏水性嵌段,而PMMA是亲水性的,因此当它们形成链时,BCP的PS端与PMMA端连接,同时彼此排斥。(图2 )

图 2:PS-b-PMMA BCP 链中创建的不同域为半导体结构提供了基础模板。资料来源:半导体工程/Gregory Haley。

需要指出的是,嵌段之间的能量差异越大,它们之间的偏析就越明显,这允许更小的链长度和对可以形成的CD的更精细的控制。[1]

然而,与半导体制造中的大多数事情一样,没有什么是免费的。如果能量差太大,可能会导致过度的相分离,从而可能导致加工复杂化或制造过程中的缺陷。平衡嵌段之间的能量差、链长度和所需的域大小需要仔细优化并考虑具体应用。实现这种平衡是一项复杂的任务,需要精确控制和理解聚合物化学。

定义 关键尺寸(CD)

通过 DSA 对硅进行图案化有两种有效的方法:图形外延流和化学外延流。图形外延流程使用传统光刻技术在尺寸通常为最终 CD尺寸 2 至 5 倍的基板上形成图案。沟槽充满 BCP 并退火 (》180°C) 以引发相分离。沟槽底部必须是中性的,以防止 BCP 粘附到基板上,同时一壁湿法粘合到 BCP 上。所得结构的 CD 由 BCP 链的长度定义(见图3)。

图 3.展示图形外延定向自组装的简化流程。资料来源:半导体工程/Gregory Haley

另一方面,化学外延流依靠不同的表面能来排列 BCP 层。在此过程中,将交联 PS 区域施加到靠近中性区域的基材上。BCP中的PS与交联的PS形成键,但不与PMMA形成键,并且中性区域不形成键。PS 键为 BCP 流动提供锚点,在垂直方向上对齐相移的 BCP(见图4)。

图 4. 展示化学外延 DSA 的简化流程。资料来源:半导体工程/Gregory Haley

“例如,如果您使用 193i 工艺,它就无法打印这种高精度模式,”Suh 说。“但是我们可以制作一个更大的间距图案并与 DSA 填充该模式。这称为“间距分割pitch splitting” 。DSA 使制造商能够实现只有 EUV 才能实现的尺寸,而且无需支付购买 EUV 工具的费用。”

DSA 的优点之一是它可以根据 PS 与 PMMA 的单体比例灵活地形成不同的结构。当每种聚合物的比例约为 50% 时,可以获得如上图所示的片层图案。一个块与另一个块的显着较低的比率可以在较大块的矩阵内形成较小块的球体,而同一块的较高比率可以形成圆柱形结构。这对于提高孔的 CD 甚至倍增孔很有用(见图5)。

“定义结构不需要是完美的,”Suh 补充道。“我们只需要一些图案来引导本地聚合物的自组装,从而完成制作清晰图案的工作。

图 5:从较大尺寸孔图案获得较小尺寸孔图案的过程。来源:imec

如上所述,这些是两种一般类型的工艺流程的简化示例,尽管每个流程中都有多个子集,使用不同的化学品、材料和层;行动命令;以及达到预期结果的额外步骤。

图案外延和化学外延都有其优点和缺点。图案外延利用成熟的工艺来形成基础结构,通常需要更少的步骤来实现最终的 CD。然而,它要求沟槽的尺寸与键合对的 BCP 链长度完全匹配,任何不规则的线条图案都可能出现在 BCP 结构中。

化学外延需要更少的空间来实现类似的 CD,并在设计上提供更大的灵活性,因为它不需要与 BCP 链长度完全匹配。但它通常涉及更复杂和微妙的过程,包括使用特定的化学图案材料,这使得控制更具挑战性。化学外延也可能对表面能和聚合物-基底相互作用的变化更加敏感,如果处理不精确,可能会导致缺陷。

DSA的缺点是缺陷率

将 DSA 从实验室引入工厂仍然主要受到缺陷控制的阻碍。尽管控制在过去三年里有了很大改善,但缺陷仍然太高,无法满足 《1/cm2 的行业标准。DSA 中的常见缺陷包括线间桥连、线塌陷、气泡和线错位。位错是一个特殊的挑战,因为它们在蚀刻或图案转移过程中无法被去除,如图 6 所示。

图 6:使用 DSA 的 EUV 图案的 13nm/P28 不同缺陷的每平方厘米密度。来源:imec

DSA缺陷问题比较复杂,影响缺陷的因素较多。与工艺相关的问题可能包括退火温度、蚀刻方法、剥离方法和所需的膜厚度,而 BCP 本身的纯度和成分等化学因素可能会引发问题。

DSA 工艺的一个显着缺点是它只能制造周期性的、简单的结构,例如空间中的线或孔,这对于常规存储设备来说更好,但对于逻辑来说却更困难。“如果一个设备有一个我们必须打印的结构,它必须是周期性的和简单的,”Suh 说。“这对于 DRAM 来说非常有用,因为它的结构是基本的,并且在高度重复的模式中是一致的。就逻辑而言,这是一个更加随机的结构,因此结构的设计需要具有 DSA 感知能力。”

其他人也同意。“DSA 面临的最大挑战始终是缺陷以及如何使布局适合 DSA,”英特尔发言人表示。“英特尔多年来一直与材料和工具供应商合作,将 DSA 缺陷降低到 HVM 级别,并与内部设计团队合作,使布局与 DSA 兼容。”

DSA的检验和计量

DSA 结构的尺寸计量存在一个重大问题。由于 DSA 形成的图案缺乏化学对比,测量的灵敏度受到挑战。这些图案在转移到基材(通过蚀刻)后可以看得更清楚,但这严重限制了微调和校正的选择,导致昂贵且耗时的返工,甚至整个批次的报废。

CD-SEM 图像主要用于识别 DSA 结构中的图案缺陷,但 CD-SEM 速度慢且仅限于晶圆上的几个采样点,考虑到 DSA 的缺陷率较高,这是一个问题。另外,很少有数据集具有用于分析的比较监督模型。然而,imec 的研究人员在 6 月份发表了一篇论文,内容涉及使用 SEM 图像分析的机器学习 (ML) 模型,使用最先进的神经网络 YOLOv8 获得六边形接触孔 DSA 图案数据集的完整标签,实现了超过 0.9 mAP 的精度(平均精度的 90%)。[4]

据多个消息来源称,当今的 DSA 检查通常使用散射测量法进行。然而,imec 的 Dehaerne 和同事指出,没有可用于 DSA 分析的传统自动缺陷检测软件。“基于机器学习的 SEM 图像分析已成为使用监督 ML 模型进行缺陷检测的一个日益流行的研究主题。”[4]

DSA的新兴用途

有关计量学的研究只是 DSA 在半导体制造中突破性新应用的最新进展之一。韩国高级科学技术研究所的科学家们正在使用 《10nm BCP 沿着 2D 石墨烯薄片的原子边缘进行自组装,以实现线边缘和线宽粗糙度水平非常低的 2D 材料的可靠纳米图案化,从而形成 具有开关特性的《10nm石墨烯纳米带阵列。

布鲁克海文国家实验室的科学家最近使用 DSA 在硅衬底上开发了一种超导薄膜量子材料(厚度《100nm)。他们的工艺可以在现有的半导体制造工艺中实现具有成本效益的大批量制造(HVM)薄膜,并以接近零的能量损失实现电传输。

结论

这是定向自组装重新出现的激动人心的时刻。随着缺陷率的下降和新计量选项的上线,DSA 提供了一种可行的制造工艺,可在 193i 和 EUV 光刻的较低节点进行图案校正。最有吸引力的是,它可以扩展 193nm 光刻技术,而无需购买 EUV ***。

十年前,DSA 领域迎来了一个充满希望的开端,随后又在实验室里苦苦挣扎了数年,如今,DSA 领域突然发展得更加迅速。尽管 DSA 尚未用于生产,但对新工艺流程和更高质量聚合物的研究可以在重复结构中比以往更精细地控制特征尺寸和图案。

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • DSA
    DSA
    +关注

    关注

    0

    文章

    47

    浏览量

    14982
  • 极紫外光刻
    +关注

    关注

    0

    文章

    12

    浏览量

    7981
  • 机器学习
    +关注

    关注

    66

    文章

    8134

    浏览量

    130580
  • EUV
    EUV
    +关注

    关注

    8

    文章

    579

    浏览量

    85581

原文标题:微纳制造技术 | 定向自组装(DSA)终于找到了立足点

文章出处:【微信号:光刻人的世界,微信公众号:光刻人的世界】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    #硬声创作季 #制造 加工技术-04.05 溅射、蒸发和电镀技术-1

    电镀晶圆制造加工
    水管工
    发布于 :2022年09月23日 03:53:41

    #硬声创作季 #制造 加工技术-11.02 体型加工技术

    晶圆制造加工
    水管工
    发布于 :2022年09月23日 06:30:17

    图解电子技术快速入门!!!终于找到了

    `图解电子技术快速入门!!!终于找到了。非常适合新手老手的书。`
    发表于 11-11 21:52

    Lucky!永磁同步电机直、交轴电感Ld,Lq计算终于找到了

    本帖最后由 djfldsthtr 于 2016-1-19 15:55 编辑 永磁同步电机直、交轴电感Ld,Lq计算终于找到了!!哈啊哈!!
    发表于 01-19 15:50

    电子封装的基本概念和发展现状

    原子精细地组成纳米尺度的分子线、膜和其他结构,再由纳米结构和功能单元进而集成为系统。许多器件都是靠化学合成方法制造的,如:利用有机化学方法制作的分子电子器件都是分散的形式,自组装可以移置这些器件到
    发表于 08-28 15:49

    DSA8300专业回收DSA8300,二手DSA8300求购

    DSA8300专业回收DSA8300,二手DSA8300求购供应热线:***/13631789921(同)曾S供应QQ:3140751627(同
    发表于 06-06 11:48

    麦克姆轮驱动和玩法

      在工业制造盛世年代,人们就感受到传统车辆的转向在一些特定的环境里面使用起来非常不方便,例如在航天航空领域的部件组装中,使用传统车辆运载拼装会消耗大量的人力、物力和时间。在精确到零几毫米的情况下
    发表于 06-29 08:40

    分子自组装及其在传感器中的应用

    阐述了分子自组装的特点及其在化学、生物传感器中的应用。简要介绍了传感器的工作原理。以当前的研究成果为例,介绍了分子自组装在传感器设计、制备及其在传感器性能中的作
    发表于 07-06 09:21 8次下载

    自组装技术在MEMS中的应用

    本文选取近年来自组装技术在 MEMS 方面的典型应用实例加以介绍,总结自组装技术的发展现状,并展望其在MEMS 中的应用前景。
    发表于 11-16 17:05 11次下载

    芯片“自组装”何时能走向商用?

    全新的“自组装技术
    的头像 发表于 07-22 17:37 3022次阅读

    MIT研发比钢铁更坚固的自组装纳米带

    麻省理工学院(MIT)的科学家们通过从自然界和合成纤维凯夫拉(Kevlar)的形成方式中获得一些灵感,开发出了“自组装”纳米带,他们说这种纳米带比钢铁还要坚固。科学家们说,凭借其独特的一系列特性和制造手段,这些新型材料可以找到
    的头像 发表于 01-27 11:56 1585次阅读

    具有高对准精度和高吞吐量的芯片到晶圆自组装技术

    来源:《半导体芯科技》杂志10/11月刊 CEA-Leti和英特尔合作成功实现了具有高对准精度和高吞吐量的芯片到晶圆(Die-to-Wafer,D2W)自组装技术,并在2022年电子元件与技术会议
    的头像 发表于 12-19 17:49 671次阅读

    集成电路制造中的定向自组装技术

    集成电路制造中的定向自组装技术
    的头像 发表于 06-02 14:42 489次阅读
    集成电路<b class='flag-5'>制造</b>中的<b class='flag-5'>定向</b><b class='flag-5'>自组装</b><b class='flag-5'>技术</b>

    10.4.4 定向自组装光刻技术DSA)∈《集成电路产业全书》

    DirectedSelf-assemblyLithography(DSA)审稿人:北京大学蔡一茂杨远程https://www.pku.edu.cn审稿人:北京大学张兴10.3集成电路新材料第10
    的头像 发表于 04-18 11:18 740次阅读
    10.4.4 <b class='flag-5'>定向</b><b class='flag-5'>自组装</b>光刻<b class='flag-5'>技术</b>(<b class='flag-5'>DSA</b>)∈《集成电路产业全书》

    DFX可制造性设计与组装技术

    今天分享是《DFX可制造性设计与组装技术》 资料
    的头像 发表于 12-11 11:10 376次阅读
    DFX可<b class='flag-5'>制造</b>性设计与<b class='flag-5'>组装</b><b class='flag-5'>技术</b>