0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

Verilog inout双向口使用和仿真的方法

FPGA之家 来源:FPGA之家 2023-06-25 09:10 次阅读

芯片外部引脚很多都使用inout类型的,为的是节省管腿。一般信号线用做总线等双向数据传输的时候就要用到INOUT类型了。就是一个端口同时做输入和输出。

inout在具体实现上一般用三态门来实现。三态门的第三个状态就是高阻'Z'。当inout端口不输出时,将三态门置高阻。

这样信号就不会因为两端同时输出而出错了

1 使用inout类型数据,可以用如下写法:

inout data_inout;
input data_in;
reg data_reg;//data_inout的映象寄存器
reg link_data;
assign data_inout=link_data?data_reg:1’bz;//link_data控制三态门
//对于data_reg,可以通过组合逻辑或者时序逻辑根据data_in对其赋值.通过控制link_data的高低电平,从而设置data_inout是输出数据还是处于高阻态,如果处于高阻态,则此时当作输入端口使用.link_data可以通过相关电路来控制.

2 编写测试模块时,对于inout类型的端口,需要定义成wire类型变量,而其它输入端口都定义成reg类型,这两者是有区别的.

当上面例子中的data_inout用作输入时,需要赋值给data_inout,其余情况可以断开.此时可以用assign语句实现:assign data_inout=link?data_in_t:1’bz;其中的link ,data_in_t是reg类型变量,在测试模块中赋值.
另外,可以设置一个输出端口观察data_inout用作输出的情况:
Wire data_out_t;
Assign data_out_t=(!link)?data_inout:1’bz;

3 else,in RTL
inout use in top module(PAD)
dont use inout(tri) in sub module
也就是说,在内部模块最好不要出现inout,如果确实需要,那么用两个port实现,到顶层的时候再用三态实现。理由是:在非顶层模块用双向口的话,该双向口必然有它的上层跟它相连。既然是双向口,则上层至少有一个输入口和一个输出口联到该双向口上,则发生两个内部输出单元连接到一起的情况出现,这样在综合时往往会出错。

对双向口,我们可以将其理解为2个分量:一个输入分量,一个输出分量。另外还需要一个控制信号控制输出分量何时输出。此时,我们就可以很容易地对双向端口建模。

例子:
CODE:
module dual_port (
....
inout_pin,
....
);

inout inout_pin;

wire inout_pin;

wire input_of_inout;
wire output_of_inout;
wire out_en;

assign input_of_inout = inout_pin;

assign inout_pin = out_en ? output_of_inout : 高阻;//问题,如果out_en为假的话,inout_pin为高

//阻,那input_of_inout呢?

//如果out_en为真,那么input_of_inout岂不

//是也等于inout_pin?怎么体现是输入呢?

endmodule

可见,此时input_of_inout和output_of_inout就可以当作普通信号使用了。

4.仿真(o(∩_∩)o...哈哈,这才是我想要看的)

在仿真的时候,需要注意双向口的处理。如果是直接与另外一个模块的双向口连接,那么只要保证一个模块在输出的时候,另外一个模块没有输出(处于高阻态)就可以了。
如果是在ModelSim中作为单独的模块仿真,那么在模块输出的时候,不能使用force命令将其设为高阻态,而是使用release命令将总线释放掉

很多初学者在写testbench进行仿真和验证的时候,被inout双向口难住了。仿真器老是提示错误不能进行。下面是我个人对inout端口写testbench仿真的一些总结,并举例进行说明。在这里先要说明一下inout口在testbench中要定义为wire型变量。

先假设有一源代码为:

module xx(data_inout , ........);

inout data_inout;

........................

assign data_inout=(! link)?datareg:1'bz;

endmodule

方法一:使用相反控制信号inout口,等于两个模块之间用inout双向口互连。这种方法要注意assign 语句只能放在initial和always块内。

module test();

wire data_inout;

reg data_reg;

reg link;

initial begin

..........

end

assign data_inout=link?data_reg:1'bz;

endmodule

方法二:使用force和release语句,但这种方法不能准确反映双向端口的信号变化,但这种方法可以反在块内。

module test();

wire data_inout;

reg data_reg;

reg link;

#xx; //延时

force data_inout=1'bx; //强制作为输入端口

...............

#xx;

release data_inout; //释放输入端口

endmodule

仿真

很多读者反映仿真双向端口的时候遇到困难,这里介绍一下双向端口的仿真方法。一个典型的双向端口如图1所示。
其中inner_port与芯片内部其他逻辑相连,outer_port为芯片外部管脚,out_en用于控制双向端口的方向,out_en为1时,端口为输出方向,out_en为0时,端口为输入方向。
Verilog语言描述如下:
module bidirection_io(inner_port,out_en,outer_port);
input out_en;
inout[7:0] inner_port;
inout[7:0] outer_port;
assign outer_port=(out_en==1)?inner_port:8'hzz;
assign inner_port=(out_en==0)?outer_port:8'hzz;
endmodule
用VHDL语言描述双向端口如下:
library ieee;
use IEEE.STD_LOGIC_1164.ALL;
entity bidirection_io is
port ( inner_port : inout std_logic_vector(7 downto 0);
out_en : in std_logic;
outer_port : inout std_logic_vector(7 downto 0) );
end bidirection_io;
architecture behavioral of bidirection_io is
begin
outer_port<=inner_port when out_en='1' else (OTHERS=>'Z');
inner_port<=outer_port when out_en='0' else (OTHERS=>'Z');
end behavioral;
仿真时需要验证双向端口能正确输出数据,以及正确读入数据,因此需要驱动out_en端口,当out_en端口为1时,testbench驱动inner_port端口,然后检查outer_port端口输出的数据是否正确;当out_en端口为0时,testbench驱动outer_port端口,然后检查inner_port端口读入的数据是否正确。由于inner_port和outer_port端口都是双向端口(在VHDL和Verilog语言中都用inout定义),因此驱动方法与单向端口有所不同。
验证该双向端口的testbench结构如图2所示。
这是一个self-checking testbench,可以自动检查仿真结果是否正确,并在Modelsim控制台上打印出提示信息。图中Monitor完成信号采样、结果自动比较的功能。
testbench的工作过程为
1)out_en=1时,双向端口处于输出状态,testbench给inner_port_tb_reg信号赋值,然后读取outer_port_tb_wire的值,如果两者一致,双向端口工作正常。
2)out_en=0时,双向端口处于输如状态,testbench给outer_port_tb_reg信号赋值,然后读取inner_port_tb_wire的值,如果两者一致,双向端口工作正常。
用Verilog代码编写的testbench如下,其中使用了自动结果比较,随机化激励产生等技术。
`timescale 1ns/10ps
module tb();
reg[7:0] inner_port_tb_reg;
wire[7:0] inner_port_tb_wire;
reg[7:0] outer_port_tb_reg;
wire[7:0] outer_port_tb_wire;
reg out_en_tb;
integer i;
initial
begin
out_en_tb=0;
inner_port_tb_reg=0;
outer_port_tb_reg=0;
i=0;
repeat(20)
begin
#50
i=random;outentb=i[0];//randomizeoutentbinnerporttbreg=random;outentb=i[0];//randomizeoutentbinnerporttbreg=random; //randomize data
outer_port_tb_reg=random;endend//****drivetheportsconnectingtobidirction_ioassigninner_port_tb_wire=(out_en_tb==1)?inner_port_tb_reg:8'hzz;assignouter_port_tb_wire=(out_en_tb==0)?outer_port_tb_reg:8'hzz;//最不懂的就是这儿了,估计也是最重要的地儿//instatiatethebidirction_iomodulebidirection_iobidirection_io_inst(.inner_port(inner_port_tb_wire),.out_en(out_en_tb),.outer_port(outer_port_tb_wire));//*****monitor******always@(out_en_tb,inner_port_tb_wire,outer_port_tb_wire)begin#1;if(outer_port_tb_wire===inner_port_tb_wire)beginrandom;endend//****drivetheportsconnectingtobidirction_ioassigninner_port_tb_wire=(out_en_tb==1)?inner_port_tb_reg:8'hzz;assignouter_port_tb_wire=(out_en_tb==0)?outer_port_tb_reg:8'hzz;//最不懂的就是这儿了,估计也是最重要的地儿//instatiatethebidirction_iomodulebidirection_iobidirection_io_inst(.inner_port(inner_port_tb_wire),.out_en(out_en_tb),.outer_port(outer_port_tb_wire));//*****monitor******always@(out_en_tb,inner_port_tb_wire,outer_port_tb_wire)begin#1;if(outer_port_tb_wire===inner_port_tb_wire)begindisplay(" **** time=%t ****",time);time);display("OK! out_en=%d",out_en_tb);
display("OK!outerporttbwire=display("OK!outerporttbwire=display(" **** time=%t ****",time);time);display("ERROR! out_en=%d",out_en_tb);
display("ERROR!outerporttbwire!=innerporttbwire");display("ERROR!outerporttbwire!=innerporttbwire");display("ERROR! outer_port_tb_wire=%d, inner_port_tb_wire=%d",
outer_port_tb_wire,inner_port_tb_wire);
end
end
endmodule





审核编辑:刘清

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • VHDL语言
    +关注

    关注

    1

    文章

    113

    浏览量

    17802
  • RTL
    RTL
    +关注

    关注

    1

    文章

    377

    浏览量

    59064
  • MODELSIM仿真
    +关注

    关注

    0

    文章

    15

    浏览量

    7237
  • Verilog语言
    +关注

    关注

    0

    文章

    113

    浏览量

    8159

原文标题:Verilog inout 双向口使用和仿真

文章出处:【微信号:zhuyandz,微信公众号:FPGA之家】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    Verilog inout 双向使用和仿真

    input_of_inout和output_of_inout就可以当作普通信号使用了。4.仿真(o(∩_∩)o...哈哈,这才是我想要看的)在仿真的时候,需要注意
    发表于 01-17 10:08

    Verilog inout 双向使用和仿真-转载

    input_of_inout和output_of_inout就可以当作普通信号使用了。4.仿真(o(∩_∩)o...哈哈,这才是我想要看的)在仿真的时候,需要注意
    发表于 02-01 11:16

    VHDL中双向inout端口的实现

    设计的基础.在程序设计过程中,关键技术在于:实体部分必须对端口属性进行申明,端口属性必须为inout类型,在构造体需要对输出信号进行有条件的高阻控制.在双向电路的处理问题上,常用的处理方式有两种,在介绍双向
    发表于 04-01 18:18

    inout testbench写法总结

    ; endmodule 可见,此时input_of_inout和output_of_inout就可以当作普通信号使用了。 在仿真的时候,需要注意双向
    发表于 08-09 08:21

    inout 怎么仿真

    最近写的 程序都涉及到inout 变量,想在modelsim中仿真,但是testbench不会写, 老写错,求助下
    发表于 03-23 10:21

    verilog inout的 用法

    )?data_inout:1'bz;总结:主要是安好verilog语言要求的用,一般情况下,不会出错,仿真的时候需要注意下就是了
    发表于 01-24 12:27

    请问双向仿真,双向用作输入口, 输出口该怎么设置?

    关于双向仿真, 如果双向用作输入口, 输出口该怎么设置?
    发表于 09-19 05:55

    inout连接报看不懂的原因及其解决办法

    时候inout端口也是这么连接的。然而在运行的时候却报了下面的错误:这错误类型我熟,但貌似明显我并没有犯这个错误啊……》解决之道首先需要说明的是,这种使用场景也仅在仿真的时候会使用到,而真实的设计场景
    发表于 09-01 16:08

    verilog每日一练】“inout双向端口类型的使用

    verilog除了input和output的端口类型,还有inout双向端口,比如在IIC协议中sda为双向信号。若sda在sda_out_en为1时输出sda_out的数值,在sda
    发表于 08-03 16:24

    Inout双向端口信号处理方法

    Inout端口信号做输入时,观察例子中的输出Data_out_t就应该是高阻态的,Inout在具体实现上一般用三态门来实现。三态门的第三个状态就是高阻''Z''。当Inout端口不输出时,将三态门置高阻
    发表于 11-11 10:24 3758次阅读

    简谈FPGA/Veriloginout端口使用方法

            大家好,又到了每日学习的时间了,今天我们来聊一聊FPGA/Veriloginout端口使用方法。        输入端口可以由wire/reg驱动,但输入端口只能是wire;输出
    的头像 发表于 08-13 13:45 1.6w次阅读

    Verilog系统函数和边沿检测

    “ 本文主要分享了在Verilog设计过程中一些经验与知识点,主要包括Verilog仿真时常用的系统任务、双向端口的使用(inout)、边沿
    的头像 发表于 03-15 13:34 1792次阅读

    单片机双向通信Proteus仿真的电路原理图

    简介:本文介绍的主要是单片机之间的双向通信Proteus仿真的电路原理图及其程序
    发表于 10-19 15:26 1次下载
    单片机<b class='flag-5'>双向</b>通信Proteus<b class='flag-5'>仿真的</b>电路原理图

    verilog inout用法与仿真

    ,本文将详细讨论 inout 的用法和仿真。 首先,我们来了解一下 inout 的含义。 inout 是一种双向信号类型,即可以作为输入信号
    的头像 发表于 02-23 10:15 410次阅读

    inout类型怎么仿真

    InOut类型的仿真是指通过计算机软件模拟和模拟硬件组件之间的输入和输出交互过程,以验证和评估电子电路设计的正确性和性能。下面将详细介绍InOut类型的仿真及其实现
    的头像 发表于 02-23 10:17 278次阅读