0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

LVDS差分信号输入输出的处理方法

冬至子 来源:Bug记录 作者:woodfan 2023-06-16 09:55 次阅读

最近调试芯片遇到一个选择题,需要决定数据接口的接口标准,是选用LVDS差分接口还是CMOS单端接口。说实话,之前接触多的还是CMOS单端接口,只是看到过很多资料介绍过LVDS差分接口。

官方说法里,它的主要优点有:

  1. 抗干扰能力强,具有更高的数据传输速率
  2. 更好的信号完整性
  3. 降低了电平幅度和电路功耗

既然有这么多优点,这次我们就选用LVDS差分接口,看看我们能不能感受到LVDS的优势。

每对LVDS信号是一个差分信号对,一个信号用两个相反的p,n信号线表示,通过差值 |Vp - Vn|传输数据,这样可以有效减小共模噪声的干扰,信号线传输如下图:

图片

图中含有DATA_CLK, RX_FRAME两个LVDS信号对

FPGA内部处理信号肯定还是需要使用单端信号,这时就需要经过一个差分转单端的“工具”,将差分信号转换为FPGA更方便处理的单端信号。

在Xilinx中,我们可以用IBUFDS原语,可以在Language Template中找到这个原语的示例,经过修改一番后,可以将差分的data_clk转换为单端的data_clk, 原语如下:

IBUFDS #(
      .DIFF_TERM("FALSE"),       // Differential Termination
      .IBUF_LOW_PWR("TRUE"),     // Low power="TRUE", Highest performance="FALSE"
      .IOSTANDARD("DEFAULT")     // Specify the input I/O standard
   ) IBUFDS_inst (
      .O(data_clk_tmp),  // Buffer output
      .I(data_clk_p),  // Diff_p buffer input (connect directly to top-level port)
      .IB(data_clk_n) // Diff_n buffer input (connect directly to top-level port)
   );

将需要转换的data_clk P端和N端接入IBUFDS的I和IB端口,就可以在O端口得到转换的单端信号。

除了CLK时钟信号,其他信号的输入转换基本结束了;而CLK时钟信号还需要接入BUFG,因为CLK时钟只有接入BUFG才能接入全局时钟网络,这对于减小时序问题有帮助。

同样在Language Template中找到这个原语的示例,修改后如下:

BUFG BUFG_i0 (
      .O(data_clk), 		// 1-bit output: Clock output, 36MHz.
      .I(data_clk_tmp)	  		// 1-bit input: Clock input, 36MHz.
    );

一个输入一个输出,非常简单的原语调用!

另一边,有输入就会有输出,输出的问题也好解决,同样使用一个OBUFDS原语实现单端转差分,在Language Template中找到原语,修改后如下:

OBUFDS #(
      .IOSTANDARD("LVDS18"), // Specify the output I/O standard
      .SLEW("SLOW")           // Specify the output slew rate
   ) OBUFDS_inst (
      .O(tx_frame_p),     // Diff_p output (connect directly to top-level port)
      .OB(tx_frame_n),   // Diff_n output (connect directly to top-level port)
      .I(tx_frame)      // Buffer input
   );

设置好相应的参数之后,将单端信号接入OBUFDS的I端口,O端口输出差分信号的P端,OB端口输出差分信号的N端。

最后一个小问题就是在XDC设置中,因为一个信号对有两个管脚,比单端信号多了一倍的管脚绑定工作量;但其实我们可以只需要绑定P端的管脚,软件会自动帮我们绑定N端的管脚。

在设置输入输出端口的“IOSTANDARD”中,遇到了些许问题,这里写出来记录一下,也让后面遇到这个问题的人有个参考;最初设置差分信号的“IOSTANDARD”时,我想当然的使用了“LVDS”,“LVDS18”等参数,但是这些参数都不能最终生成比特流;

在查了一些资料以及Vivado本身的I/O Port界面里参数后发现,差分信号的IOSTANDARD需要这样设置:

set_property IOSTANDARD DIFF_HSTL_II_18 [get_ports tx_frame_p]

因为我的IO电压是1.8V,所以最终使用了“DIFF_HSTL_II_18”,如果有更好的方案,可以一起探讨探讨。

总结:

  • 输入信号需要用到IBUFDS实现差分转单端,输入时钟还需要加BUFG
  • 输出信号使用OBUFDS实现单端转差分
  • 差分信号只需要绑P端管脚,“IOSTANDARD”设置需要注意

输入输出端口的简单处理之后,这些信号为了提高传输数据的效率,还使用了DDR(Double Data Rate)技术传输数据。

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • CMOS
    +关注

    关注

    58

    文章

    5163

    浏览量

    233382
  • FPGA设计
    +关注

    关注

    9

    文章

    425

    浏览量

    26273
  • 差分信号
    +关注

    关注

    3

    文章

    332

    浏览量

    27280
  • lvds接口
    +关注

    关注

    1

    文章

    115

    浏览量

    17230
  • CLK
    CLK
    +关注

    关注

    0

    文章

    122

    浏览量

    16912
收藏 人收藏

    评论

    相关推荐

    LVDS分信号技术质量初勘

    LVDS是一种低摆幅的差分信号技术,它使得信号能在差分PCB线对或平衡电缆上以几百Mbps的速率传输,其低压幅和低电流驱动输出实现了低噪声和低功耗。
    的头像 发表于 10-02 16:44 696次阅读
    <b class='flag-5'>LVDS</b>差<b class='flag-5'>分信号</b>技术质量初勘

    Z-turn底部的DIP扩展接口支持LVDS输入输出吗?

    Z-turn底部的DIP扩展接口支持LVDS输入输出吗?
    发表于 04-03 14:50

    LVDS低电压分信号

    新系列,专门用于实现多点电缆或背板应用。它不同于标准的LVDS,提供增强的驱动电流,以处理多点应用中所需的双重传输。BLVDS具备大约250mV的低压分信号以及快速的过渡时间。这可以
    发表于 04-15 16:13

    咨询一个分信号处理

    如图中所示,前级运放输出一对分信号,经过处理器切换到二阶低通滤波器上,最后传输到串行AD进行数据转换。这里不太明白滤波器前对
    发表于 03-03 10:53

    FPGA中分信号的定义和使用(一)

    号的CPLD也开始支持分信号了。那么在FPGA中如何正确定义和使用分信号呢?在这篇文章里,我们基于ALTERA公司的CYCLONE III系列的FPGA芯片,做一些讨论。 一,
    发表于 09-03 11:08

    分信号的优势和影响

    降低。LVPECL 和 CML 分信号具有更高的输出电压摆幅,因此功耗比 LVDS 及 M-LVDS
    发表于 09-17 16:34

    IO信号Ain verilog设计用它来使用分信号标准LVDS,请问要在代码中使用IOBUFDS吗?

    大家好假设我有一个IO信号Ain verilog设计。我想用它来使用分信号标准LVDS。我是否必须在我的verilog代码中使用IOBUFDS?或者有一种更简单的
    发表于 01-18 07:03

    详解低压分信号隔离

    对处于恶劣环境中的外部接口需要予以电流隔离,以增强安全性、功能性或是抗扰能力。这包括工业测量和控制所用数据采集模块当中的模拟前端,以及处理节点之间的数字接口。低压分信号传输(LVDS
    发表于 07-23 07:27

    为什么变压器输入输出端的分信号要一一对应(即P端和P端 对应,N端和N端对应)

    PHY和RJ45之间的网络变压器输入输出端的相位关系是什么,为什么变压器输入输出端的分信号要一一对应(即P端和P端 对应,N端和N端对应)?
    发表于 07-26 11:05

    怎么做才能产生分信号

    我需要将输出信号设置为LVDS,但在Vivado 2016.2中没有这样的选项。我正在使用ZedBoard。在Xilinx支持中写道,只需设置正分端口就可以自动设置负数。在文档中,J
    发表于 08-07 06:27

    分信号与单端信号的区别是什么

    什么是分信号分信号与单端信号的区别分信号的优点
    发表于 01-28 06:01

    分信号与单端信号的区别

    什么是分信号分信号与单端信号的区别分信号的优点
    发表于 03-03 07:09

    什么是分信号?为什么要用分信号

    什么是分信号?为什么要用分信号分放大电路的基本结构和作用分放大电路的应用电路
    发表于 03-11 08:21

    LVDS分信号抗噪特性

    LVDS分信号抗噪特性 从差分信号传输线路上可以看出,若是理想状况,线路没有干扰时,在发送侧,可以形象理解为:
    发表于 10-16 13:53 1530次阅读

    浅析差分信号和单端信号的区别

    分信号其实就是把两个单路信号的差值经行传输或者输入输出处理
    的头像 发表于 11-28 15:21 1.9w次阅读