0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

分享一些Verdi实用技巧

sanyue7758 来源:数字ICer 2023-06-09 11:13 次阅读

Bus Operations总线操作

一个多比特的bus拆分成几个位宽较小的bus:

Signal -> Bus Operations -> Expand as Sub-bus

4f4b2f68-05e7-11ee-962d-dac502259ad0.png

例如将128bit分成32bit:

4f5a27ca-05e7-11ee-962d-dac502259ad0.png

结果:

4f67d4e2-05e7-11ee-962d-dac502259ad0.png

Logical Operation逻辑运算

可以通过对现有信号执行的逻辑操作创建新信号,或者搜索信号值。

Signal -> Logical Operation

4f748bd8-05e7-11ee-962d-dac502259ad0.png

逻辑操作

两信号进行与运算,也可以进行其他运算:

4f803384-05e7-11ee-962d-dac502259ad0.png

相与之后得出新信号:

4f8a45ea-05e7-11ee-962d-dac502259ad0.png

数值搜索

输入搜索的值,点击create,该值位置会拉高.

4f95820c-05e7-11ee-962d-dac502259ad0.png

4fa0d94a-05e7-11ee-962d-dac502259ad0.png

Set SearchValue数值搜索、上升沿和下降沿搜索

进行数值搜索、上升沿和下降沿搜索

4fa87740-05e7-11ee-962d-dac502259ad0.png

选中信号,按n向后搜索,N向前搜索;

数值搜索:

4fb937b0-05e7-11ee-962d-dac502259ad0.png

上下沿搜索:

4fc38f62-05e7-11ee-962d-dac502259ad0.png

自动计数

方法一:Grid Options网格计数

可以指定在波形窗中绘制网格线。选项包括Rising Edge, Falling Edge和 Both Edges。

View -> Grid Options

4fd167b8-05e7-11ee-962d-dac502259ad0.png

选中grid和grid counter with start number:

4fe14d86-05e7-11ee-962d-dac502259ad0.png

如图:信号下方出现了数字,可以用于计数。

4fec69b4-05e7-11ee-962d-dac502259ad0.png      

方法二:自动计数器

右击PCLK信号,选择Add Counter Signal by,选择上升沿、下降沿、任意沿中的一个。

4ffbd688-05e7-11ee-962d-dac502259ad0.png5013a7fe-05e7-11ee-962d-dac502259ad0.png

Signal Event Report统计

统计出某个信号在特定时间段内的跳变的次数。

View -> Signal Event Report

501cc096-05e7-11ee-962d-dac502259ad0.png

Rising:指的是指定Marker时间段内上升沿数;

Falling:指的是指定Marker时间段内下降沿数;

50288214-05e7-11ee-962d-dac502259ad0.png

备注:利用Logical Operation和Signal Event Report可以统计非连续有效信号

Waveform Compare比较波形:

nWave提供了一种综合比较自动比较不同结果的能力。在比较完成后,nWave以图形方式显示波形窗格中的任何不匹配,然后可以通过每个不匹配来分析差异。

Tools -> Waveform Compare

50393e38-05e7-11ee-962d-dac502259ad0.png

50475f9a-05e7-11ee-962d-dac502259ad0.png

Reverse回退仿真

makefile中加上-lca -kdb -debug_access+all+reverse

5051d420-05e7-11ee-962d-dac502259ad0.png

运行 ./simv –verdi &启动verdi之后,需要打开verdi的回退仿真功能:

tools->Preferences中设置

50641220-05e7-11ee-962d-dac502259ad0.png

506ec940-05e7-11ee-962d-dac502259ad0.png

设置几个断点;在verdi的console中,输入run,启动仿真;

507a36a4-05e7-11ee-962d-dac502259ad0.png

点击run/continuereverse回退仿真

50903634-05e7-11ee-962d-dac502259ad0.png

509d2fec-05e7-11ee-962d-dac502259ad0.png

此时,执行的光标,回到了断点代码的前一行。此时仿真的状态就回到了执行上一条指令的状态。

因此可以利用reverse功能,实现回退式仿真。这样当仿真不小心过了我们的设定点,可以使用reverse功能,回退到这个点。从而避免重新启动仿真。





审核编辑:刘清

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 计数器
    +关注

    关注

    32

    文章

    2126

    浏览量

    93009
  • Bus总线
    +关注

    关注

    1

    文章

    6

    浏览量

    7943

原文标题:Verdi实用技巧

文章出处:【微信号:处芯积律,微信公众号:处芯积律】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    自己收集的一些labview视频教程,也希望大家分享

    下载有时会出问题。所以用新浪的,有空的可以关注下http://weibo.com/yanmingzi,以后发布Labwindows/CVI教程,计算机、组态王以及PLC等相关教程。有的是淘宝买
    发表于 03-10 15:04

    LabVIEW 实用技巧.

    Labview实用技巧
    发表于 06-29 12:38

    请教VCS和verdi怎么联合使用

    最近要用到VCS仿真后生成FSDB文件,然后在Verdi中进行自动侦错,请问我怎么安装Verdi这个软件以及如何启动license,并且怎么写testbench文件才可以产生FSDB文件。请懂得人给我支支招,我也是刚开始学习这个软件的使用。
    发表于 01-22 14:53

    Verdi工具怎么安装?如何破解?

    本文介绍Verdi工具的安装及破解过程
    发表于 06-21 07:15

    VCS+Verdi如何安装?怎么破解?

    VCS+Verdi如何安装?怎么破解?
    发表于 06-21 06:11

    讲解位操作在单片机开发中的一些实用技巧

    :六种位操作)下面我们想着重讲解位操作在单片机开发中的一些实用技巧。1,在不改变其他位的值的状况下,对某几个位进行设值。这个场景在单片机开发中经常使用,方法就是先对需要设置的位用&操作符进行清零操作,然后用|操作符设值。比如我要改变GPIOA的状态,可以先对寄存器的值进行
    发表于 01-24 08:18

    Verdi 54v7 LINUX

    Verdi 54v7 LINUX
    发表于 03-25 13:19 9次下载

    分享《verdi用法小结》的pdf

    分享Verdi用法小结的pdf文档
    的头像 发表于 02-18 20:21 830次阅读
    分享《<b class='flag-5'>verdi</b>用法小结》的pdf

    Verdi使用技巧(二)

    上一篇文章IC君跟大家分享了Verdi使用技巧——连续有效信号量测方法。 有不少网友在文章后留言或者微信给我留言,提供了一些其它方法。 不得不说广大人民群众的智慧才是无穷无尽的,大家一起交流才能取得更大的进步!
    的头像 发表于 04-25 14:42 2738次阅读
    <b class='flag-5'>Verdi</b>使用技巧(二)

    Verdi使用技巧(三)

    前一段时间IC君比较忙,没有更新文章,最近稍微闲下来点,继续更新Verdi相关的文章。
    的头像 发表于 04-25 14:44 3318次阅读
    <b class='flag-5'>Verdi</b>使用技巧(三)

    全网最实用的Verdi教程1

    过程中,常常用来调试(debug)一些错误的情况。Verdi既可以阅读调试代码,又可以查看波形,包括数字设计的波形和模拟设计的波形。
    的头像 发表于 05-05 14:49 1.4w次阅读
    全网最实用的<b class='flag-5'>Verdi</b>教程1

    全网最实用的Verdi教程2

    过程中,常常用来调试(debug)一些错误的情况。Verdi既可以阅读调试代码,又可以查看波形,包括数字设计的波形和模拟设计的波形。
    的头像 发表于 05-05 14:53 4971次阅读
    全网最实用的<b class='flag-5'>Verdi</b>教程2

    全网最实用的Verdi教程3

    过程中,常常用来调试(debug)一些错误的情况。Verdi既可以阅读调试代码,又可以查看波形,包括数字设计的波形和模拟设计的波形。
    的头像 发表于 05-05 14:53 3764次阅读
    全网最实用的<b class='flag-5'>Verdi</b>教程3

    Verdi环境配置、生成波形的方法

    Verdi是一个功能强大的debug工具,可以配合不同的仿真软件进行debug,很多企业常用的就是VCS+Verdi或或者Xcelium(xrun)+Verdi的方式进行代码的仿真与检查
    的头像 发表于 05-29 09:48 2315次阅读
    <b class='flag-5'>Verdi</b>环境配置、生成波形的方法

    Verdi连续波激光器-Coherent

    描述: Verdi系列激光器,是基于OPSL专利技术,是高功率的532nm绿光激光器。常用于钛宝石激光器泵浦、全息、干涉、冷原子等领域。 Verdi系列激光器,是久负盛名的激光器,具有非常出色
    的头像 发表于 06-30 09:47 275次阅读
    <b class='flag-5'>Verdi</b>连续波激光器-Coherent