0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

VCS独立仿真Vivado IP核的一些方法总结

冬至子 来源:Bug记录 作者:woodfan 2023-06-06 11:09 次阅读

最近,需要使用VCS仿真一个高速并串转换的Demo,其中需要用到Vivado的SelectIO IP核以及IDELAYCTRL,IDELAY2原语。而此前我只使用VCS仿真过Quartus的IP核。

而我的VCS装在Ubuntu,Vivado装在Win10,尝试了export仿真库等方法,奈何通通失败了,如果实在折腾不好,恐怕只能在Ubuntu下再装一个Vivado for Linux版了。

经过一系列艰难的搜索资料之后(主要是网上的VCS资料太少),我找到了Vivado的IP核仿真库文件夹。

我使用的是Verilog,所以我需要的库在**XILINX/Vivado/2016.1/data/verilog/src (**XILINX是Vivado的安装路径),把里面的unifast, unimacro, unisims, unisims_dr, xeclib文件夹和glbl.v复制到自己的仿真文件夹,并在makefile里的vcs命令下加入相关库,如下图:

图片

正当我以为已经将需要的库加载完成,在命令行输入make comp的时候,命令行却无情的报错,报错信息如下:

图片

真是令人头秃,但是没办法,谁叫我这么闲呢,于是我又开始在网上搜索这个错误信息,知道找到了xilinx的一篇Answer:

这篇Answer,很粗略的回答了错误是因为IP核调用了Xilinx SecureIP包中的一部分库,而这个库是加密的,后面推荐的方法看的是云里雾里,但我查询一些资料之后,原来这个secureIP文件夹也是在Vivado的安装目录下,这个时候就需要使用电脑搜索文件的神器——Listrary。

SecureIP包在$XILINX\\Vivado\\2016.1\\data\\secureip下,我直接将整个"secureip"文件夹复制到ubuntu文件夹下。

注意,此时还有几个步骤需要做:

  1. 将secureip下的secureip.list.f里面的路径做修改,如下图
    图片
    修改后

图片

工程量可能有些大,这时候可以把不需要的库路径删去,保留我们需要的。

  1. 到secureIP下找到需要用到的IP核文件夹,并修改文件夹里的f和vf文件;例如,我需要使用的是iserdese2和oserdese2文件夹,

iserdese2_cell.list.f修改如下:

图片

iserdese2_cell.list.vf修改如下:

图片

注意,前面secureip.list.f包含多少个库,就需要改多少个库文件夹里面的这两个文件,所以可以将不需要的库路径删去,等需要的时候再加。

  1. 在makefile里面增加文件包含,写法如下

图片

  1. 在vcs编译的命令中需要加入-lca,否则vcs会一直报错提示加入这个命令;

最终效果,仿真成功!

图片

希望这个方法总结可以帮助到其他人,不过说不定大家用的方法更加简单方便快捷呢?

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • VCS
    VCS
    +关注

    关注

    0

    文章

    78

    浏览量

    9495
  • Vivado
    +关注

    关注

    18

    文章

    790

    浏览量

    65101
  • Ubuntu系统
    +关注

    关注

    0

    文章

    84

    浏览量

    3785
收藏 人收藏

    评论

    相关推荐

    vivadoip的工程封装

    请教下,vivado怎么把带ip的工程进行封装,保证代码不可见,可以通过端口调用。我尝试了以下方法,ippackage,如果要在另
    发表于 07-14 09:18

    vivado 调用IP 详细介绍

    大家伙,又到了每日学习的时间了,今天咱们来聊vivado 调用IP。首先咱们来了解vivado
    发表于 05-15 12:05

    详细操作 vivado 调用IP(附图)

    大家伙,又到了每日学习的时间了,今天咱们来聊vivado 调用IP。首先咱们来了解vivado
    发表于 05-16 11:42

    怎么在Vivado HLS中生成IP

    的经验几乎为0,因此我想就如何解决这个问题提出建议。这就是我的想法:1 - 首先,用Vivado HLS转换VHDL中的C代码(我现在有一些经验)2 - 在Vivado HLS中生成IP
    发表于 03-24 08:37

    Vivado IP锁定的解决办法分享

      发生IP锁定,般是Vivado版本不同导致的,下面介绍几种方法:    1 常用的方法 
    发表于 01-08 17:12

    Vivado生成IP

    vivado生成ip后缺少大片文件,之前是可以用的,中途卸载过Modelsim,用vivado打开过ISE工程,因为工程中很多
    发表于 04-24 23:42

    基于linux系统实现的vivado调用VCS仿真教程

    在linux系统上实现vivado调用VCS仿真教程 作用:vivado调用VCS仿真可以加快工
    的头像 发表于 07-05 03:30 1.1w次阅读
    基于linux系统实现的<b class='flag-5'>vivado</b>调用<b class='flag-5'>VCS</b><b class='flag-5'>仿真</b>教程

    如何使用Synopsys VCS仿真器进行ZYNQ BFM IPI设计仿真

    了解如何使用Vivado中的Synopsys VCS仿真器使用ZYNQ BFM IPI设计运行仿真。 我们将演示如何编译仿真库,为
    的头像 发表于 11-29 06:59 4599次阅读

    如何使用Vivado中的Synopsys VCS仿真器进行仿真

    了解如何使用Vivado中的Synopsys VCS仿真器使用MicrBlaze IPI设计运行仿真。 我们将演示如何编译仿真库,为
    的头像 发表于 11-29 06:57 6903次阅读

    VCS独立仿真Vivado IP核的一些方法总结

    前年,发表了一篇文章《VCS独立仿真Vivado IP核的一些
    的头像 发表于 03-22 10:31 3510次阅读

    SpinalHDL运行VCS+Vivado相关仿真

    本篇文章来源于微信群中的网友,分享下在SpinalHDL里如何丝滑的运行VCSVivado相关仿真。自此仿真设计一体化不是问题。
    的头像 发表于 08-10 09:15 2094次阅读

    使用VCS仿真Vivado IP核时遇到的问题及解决方案

    前年,发表了一篇文章《VCS独立仿真Vivado IP核的一些
    的头像 发表于 08-29 14:41 1615次阅读

    记录VCS仿真IP核只有VHDL文件的解决方法

    使用VCS仿真Vivado里面的IP核时,如果VivadoIP核的
    的头像 发表于 06-06 11:15 1439次阅读
    记录<b class='flag-5'>VCS</b><b class='flag-5'>仿真</b>的<b class='flag-5'>IP</b>核只有VHDL文件的解决<b class='flag-5'>方法</b>

    VCS独立仿真Vivado IP核的问题补充

    仿真Vivado IP核时分两种情况,分为未使用SECURE IP核和使用了SECURE IP核。
    的头像 发表于 06-06 14:45 1276次阅读
    <b class='flag-5'>VCS</b><b class='flag-5'>独立</b><b class='flag-5'>仿真</b><b class='flag-5'>Vivado</b> <b class='flag-5'>IP</b>核的问题补充

    VCS独立仿真Vivado IP核的问题补充

    仿真Vivado IP核时分两种情况,分为未使用SECURE IP核和使用了SECURE IP核。
    的头像 发表于 06-20 14:23 661次阅读
    <b class='flag-5'>VCS</b><b class='flag-5'>独立</b><b class='flag-5'>仿真</b><b class='flag-5'>Vivado</b> <b class='flag-5'>IP</b>核的问题补充