0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

Vivado IP核Shared Logic选项配置

CHANBAEK 来源:FPGA Zone 作者:stark 2023-09-06 17:05 次阅读

在给Vivado中的一些IP核进行配置的时候,发现有Shared Logic这一项,这里以Tri Mode Ethernet MAC IP核为例,如图1所示。

图片

图1 IP核Shared Logic选项配置

之前都是默认选择“Include Shared Logic in Core”,一直都没考虑过“Include Shared Logic in Example Design”和“Include Shared Logic in Core”在使用上有什么区别。

从字面意思来看,“Include Shared Logic in Example Design”就是在IP核中不包括共享资源,而“Include Shared Logic in Core”则相反。Shared Logic就是共享逻辑,主要包括时钟、复位等。当选择Shared Logic in core时,这些共享的逻辑就会被集成到IP的内部。当选择Shared Logic in example design时,这些共享逻辑信号作为输入信号被IP调用。至于为什么叫in example design,是因为Vivado的IP都会提供一个例程,shared logic在example中是对外开放的,可由用户修改。

图片

图2 例化多个IP核时shared logic信号共享连接

如图2所示,左侧的 IP 核选择了将共享资源包含在 IP 核内部,右侧的 IP 核则选择了将共享资源从 IP 核内部去除, 移至 example desgin 中。两种选项应用场景的区别主要在于:如果工程中只需要例化1个该IP核,就选择“Include Shared Logic in Core”。若需要例化多个此IP核,就可以令第一个IP选择“Include Shared Logic in Core”,将共享资源包含在 IP 核内部;其它例化的IP核选择“Include Shared Logic in Example Design”,将共享资源从 IP 核内部去除,并与第一个IP核信号共享。

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • Mac
    Mac
    +关注

    关注

    0

    文章

    1067

    浏览量

    50840
  • IP核
    +关注

    关注

    4

    文章

    317

    浏览量

    49041
  • Vivado
    +关注

    关注

    18

    文章

    790

    浏览量

    65099
  • 逻辑信号
    +关注

    关注

    0

    文章

    11

    浏览量

    3332
收藏 人收藏

    评论

    相关推荐

    Vivado IP中的Shared Logic到底是干嘛的

    在很多Vivado的高速接口的IP中,比如Ethernet、PCIe、SRIO的设置中,都会有个Shared Logic的页面。可能很多同学并没有很关注这个页面,直接默认设置就完事了。
    的头像 发表于 08-02 09:03 1470次阅读
    <b class='flag-5'>Vivado</b> <b class='flag-5'>IP</b>中的<b class='flag-5'>Shared</b> <b class='flag-5'>Logic</b>到底是干嘛的

    vivadoip的工程封装

    请教一下,vivado怎么把带ip的工程进行封装,保证代码不可见,可以通过端口调用。我尝试了以下方法,ippackage,如果要在另一个程序里调用,也要提供源代码;另一个方法是将网表文件edf文件与端口声明结合,这种方法只能实
    发表于 07-14 09:18

    vivado 调用IP 详细介绍

    大家伙,又到了每日学习的时间了,今天咱们来聊一聊vivado 调用IP。首先咱们来了解一下vivadoIP
    发表于 05-15 12:05

    详细操作 vivado 调用IP(附图)

    大家伙,又到了每日学习的时间了,今天咱们来聊一聊vivado 调用IP。首先咱们来了解一下vivadoIP
    发表于 05-16 11:42

    玩转Zynq连载21——VivadoIP的移植

    `玩转Zynq连载21——VivadoIP的移植更多资料共享腾讯微云链接:https://share.weiyun.com/5s6bA0s百度网盘链接:https://pan.baidu.com
    发表于 09-04 10:06

    怎么在Vivado HLS中生成IP

    的经验几乎为0,因此我想就如何解决这个问题提出建议。这就是我的想法:1 - 首先,用Vivado HLS转换VHDL中的C代码(我现在有一些经验)2 - 在Vivado HLS中生成IP
    发表于 03-24 08:37

    想用16Mhz输入时钟的vivado套装中的“时钟向导”IP生成设计?

    嗨,我想创建一个设计,我需要2Mhz clk,我想用16Mhz输入时钟的vivado套装中的“时钟向导”IP生成它。根据Xilinx手册(下面的链接),这可以通过CLKOUT4_CASCADE
    发表于 07-27 06:32

    Vivado IP锁定的解决办法分享

      发生IP锁定,一般是Vivado版本不同导致的,下面介绍几种方法:    1 常用的方法  1)生成IP的状态报告 Report -
    发表于 01-08 17:12

    Vivado中xilinx_courdic IP怎么使用

    Vivado中xilinx_courdic IP(求exp指数函数)使用
    发表于 03-03 07:35

    vivado三速以太网IP怎么用

    vivado的三速以太网IP接口太多了,完全不知道应该怎么用,哪位大佬能发我一份设计或者仿真吗?简单的就好
    发表于 04-15 12:58

    vivado有哪几种常用IP?如何去调用它们

    vivado三种常用IP的调用当前使用版本为vivado 2018.3vivadoIP
    发表于 07-29 06:07

    Vivado生成IP

    vivado生成ip后缺少一大片文件,之前是可以用的,中途卸载过Modelsim,用vivado打开过ISE工程,因为工程中很多IP
    发表于 04-24 23:42

    如何使用Vivado Logic Analyzer与逻辑调试IP进行交互

    了解Vivado中的Logic Debug功能,如何将逻辑调试IP添加到设计中,以及如何使用Vivado Logic Analyzer与逻辑
    的头像 发表于 11-30 06:22 3131次阅读

    如何在Vivado配置FIFO IP

    Vivado IP核提供了强大的FIFO生成器,可以通过图形化配置快速生成FIFO IP核。
    的头像 发表于 08-07 15:36 1928次阅读
    如何在<b class='flag-5'>Vivado</b>中<b class='flag-5'>配置</b>FIFO <b class='flag-5'>IP</b>核

    Vivado中BRAM IP配置方式和使用技巧

    FPGA开发中使用频率非常高的两个IP就是FIFO和BRAM,上一篇文章中已经详细介绍了Vivado FIFO IP,今天我们来聊一聊BRAM IP
    的头像 发表于 08-29 16:41 2994次阅读
    <b class='flag-5'>Vivado</b>中BRAM <b class='flag-5'>IP</b>的<b class='flag-5'>配置</b>方式和使用技巧