0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

使用VCS仿真Vivado IP核时遇到的问题及解决方案

FPGA之家 来源:FPGA之家 作者:FPGA之家 2022-08-29 14:41 次阅读

前年,发表了一篇文章《VCS独立仿真Vivado IP核的一些方法总结》(链接在参考资料1),里面简单讲述了使用VCS仿真Vivado IP核时遇到的一些问题及解决方案,发表之后经过一年多操作上也有些许改进,所以写这篇文章补充下。

在仿真Vivado IP核时分两种情况,分为未使用SECURE IP核和使用了SECURE IP核。

对于没有使用SECURE IP核的IP核仿真,只需要在VCS的命令行加上‘-y’选项,并给出库文件路径。这些库文件在$VIVADO_INSTALLER_PATHdataverilogsrc,其中$VIVADO_INSTALLER_PATH是VIVADO的安装路径,进入对应的版本号,比如2019.2,进入后找到data文件夹依次往下寻找。找到后可以把这些库文件复制到虚拟机,每个文件夹的库文件包含的内容不同,然后如下图:

45191cfe-25a9-11ed-ba43-dac502259ad0.png

即可加入对应的库文件夹。IP核仿真结束。

对于使用了SECURE IP核仿真的情况,除了要包含上面的这些库文件外,还要把SECURE IP加入到VCS;

首先,找到SECURE IP文件夹,在$VIVADO_INSTALLER_PATHdatasecureip,将里面的文件复制到虚拟机。每个文件夹包含的功能不同。

之前的文章讲接下来的操作如下:

45307f48-25a9-11ed-ba43-dac502259ad0.png

操作上还是太复杂,这里简化操作如下。首先,VCS在仿真SECURE IP里面的VP文件时,需要加上一句“+verilog2001ext+.vp”,这句话的意思是将VP文件以verilog2001标准执行。出处在:

454b2ac8-25a9-11ed-ba43-dac502259ad0.png

这是一份日语版本的UG900(参考资料2),但是在最新的UG900 英文版里(参考资料3),并没有这相关的内容;我的猜测是Xilinx不推荐独立仿真的方式,更加主推Vivado和VCS联合仿真,或者使用“export_simulation”等TCL语句将仿真库编译好直接使用的方式。

此外,也不需要我们修改SECURE IP文件夹的vcs_secureip_cell.list.f里面的路径,在makefile文件里使用如下方法:

458008a6-25a9-11ed-ba43-dac502259ad0.png

使用export命令定义XILINX_VIVADO的路径,这样vcs_secureip_cell.list.f会根据这个路径去查找对应的文件。

注意,SECURE IP是加密之后的IP,而VIVADO在2016版本后更换了加密密钥,所以VCS2017之前的版本只能使用VIVADO2017之前的SECURE IP。

此外,还有网友提出问题,VIVADO项目(source)IP核文件夹里,哪些东西是对仿真有用的?

首先,IP核文件夹里的$IP_NAME_stub.v,$IP_NAME是生成IP的名字,这个文件是没有用的,它只有对IP的端口定义;其次,进行功能仿真时,可以选择$IP_NAME_sim_netlist.v,这个文件注释专门写着是为功能仿真准备的,推荐使用这类文件;最后,也可以使用$IP_NAME.v,这个文件大多数情况也可以用来仿真。

注意,如果存在$IP_NAME_sim_netlist.v和$IP_NAME.v时,只能选择一个文件加入仿真,否则会出现redefine的报错。因为IP核文件夹里面可能存在多个verilog文件,推荐删去$IP_NAME_stub.v,保留$IP_NAME_sim_netlist.v和$IP_NAME.v中的一个,然后在VCS命令里将文件夹的verilog都包含进来。

可能有人就会问,既然项目里的IP文件夹包含了verilog文件,为什么还要加入那些库;这些库大部分是作为基础库存在,供各类IP核调用,是它们的相同部分,而项目里的IP文件夹是它们之间不同的部分。

审核编辑:彭静

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • VCS
    VCS
    +关注

    关注

    0

    文章

    78

    浏览量

    9495
  • 虚拟机
    +关注

    关注

    1

    文章

    855

    浏览量

    27371
  • Vivado
    +关注

    关注

    18

    文章

    790

    浏览量

    65093

原文标题:VCS独立仿真Vivado IP核的问题补充

文章出处:【微信号:zhuyandz,微信公众号:FPGA之家】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    vivadoip的工程封装

    请教一下,vivado怎么把带ip的工程进行封装,保证代码不可见,可以通过端口调用。我尝试了以下方法,ippackage,如果要在另一个程序里调用,也要提供源代码;另一个方法是将网表文件edf文件与端口声明结合,这种方法只能实
    发表于 07-14 09:18

    vivado 调用IP 详细介绍

    大家伙,又到了每日学习的时间了,今天咱们来聊一聊vivado 调用IP。首先咱们来了解一下vivadoIP
    发表于 05-15 12:05

    详细操作 vivado 调用IP(附图)

    大家伙,又到了每日学习的时间了,今天咱们来聊一聊vivado 调用IP。首先咱们来了解一下vivadoIP
    发表于 05-16 11:42

    Vivado中xilinx_courdic IP怎么使用

    Vivado中xilinx_courdic IP(求exp指数函数)使用
    发表于 03-03 07:35

    vivado三速以太网IP怎么用

    vivado的三速以太网IP接口太多了,完全不知道应该怎么用,哪位大佬能发我一份设计或者仿真吗?简单的就好
    发表于 04-15 12:58

    Vivado生成IP

    vivado生成ip后缺少一大片文件,之前是可以用的,中途卸载过Modelsim,用vivado打开过ISE工程,因为工程中很多IP
    发表于 04-24 23:42

    基于linux系统实现的vivado调用VCS仿真教程

    在linux系统上实现vivado调用VCS仿真教程 作用:vivado调用VCS仿真可以加快工
    的头像 发表于 07-05 03:30 1.1w次阅读
    基于linux系统实现的<b class='flag-5'>vivado</b>调用<b class='flag-5'>VCS</b><b class='flag-5'>仿真</b>教程

    如何使用Synopsys VCS仿真器进行ZYNQ BFM IPI设计仿真

    了解如何使用Vivado中的Synopsys VCS仿真器使用ZYNQ BFM IPI设计运行仿真。 我们将演示如何编译仿真库,为
    的头像 发表于 11-29 06:59 4599次阅读

    如何使用Vivado中的Synopsys VCS仿真器进行仿真

    了解如何使用Vivado中的Synopsys VCS仿真器使用MicrBlaze IPI设计运行仿真。 我们将演示如何编译仿真库,为
    的头像 发表于 11-29 06:57 6901次阅读

    VCS独立仿真Vivado IP核的一些方法总结

    前年,发表了一篇文章《VCS独立仿真Vivado IP核的一些方法总结》(链接在参考资料1),里面简单讲述了使用VCS
    的头像 发表于 03-22 10:31 3508次阅读

    SpinalHDL运行VCS+Vivado相关仿真

    本篇文章来源于微信群中的网友,分享下在SpinalHDL里如何丝滑的运行VCSVivado相关仿真。自此仿真设计一体化不是问题。
    的头像 发表于 08-10 09:15 2092次阅读

    VCS独立仿真Vivado IP核的一些方法总结

    最近,需要使用VCS仿真一个高速并串转换的Demo,其中需要用到Vivado的SelectIO IP核以及IDELAYCTRL,IDELAY2原语。而此前我只使用
    的头像 发表于 06-06 11:09 1729次阅读
    <b class='flag-5'>VCS</b>独立<b class='flag-5'>仿真</b><b class='flag-5'>Vivado</b> <b class='flag-5'>IP</b>核的一些方法总结

    记录VCS仿真IP核只有VHDL文件的解决方法

    使用VCS仿真Vivado里面的IP核时,如果VivadoIP核的
    的头像 发表于 06-06 11:15 1438次阅读
    记录<b class='flag-5'>VCS</b><b class='flag-5'>仿真</b>的<b class='flag-5'>IP</b>核只有VHDL文件的解决方法

    VCS独立仿真Vivado IP核的问题补充

    仿真Vivado IP核时分两种情况,分为未使用SECURE IP核和使用了SECURE IP核。
    的头像 发表于 06-06 14:45 1274次阅读
    <b class='flag-5'>VCS</b>独立<b class='flag-5'>仿真</b><b class='flag-5'>Vivado</b> <b class='flag-5'>IP</b>核的问题补充

    VCS独立仿真Vivado IP核的问题补充

    仿真Vivado IP核时分两种情况,分为未使用SECURE IP核和使用了SECURE IP核。
    的头像 发表于 06-20 14:23 660次阅读
    <b class='flag-5'>VCS</b>独立<b class='flag-5'>仿真</b><b class='flag-5'>Vivado</b> <b class='flag-5'>IP</b>核的问题补充