0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

FPGA内部自复位电路设计方案

FPGA设计论坛 来源:未知 2023-04-06 16:45 次阅读

1、定义

复位信号是一个脉冲信号,它会使设计的电路进入设定的初始化状态,一般它作用于寄存器,使寄存器初始化为设定值;其脉冲有效时间长度必须大于信号到达寄存器的时延,这样才有可能保证复位的可靠性。

下面将讨论FPGA/CPLD的复位电路设计

2、分类及不同复位设计的影响

根据电路设计,复位可分为异步复位和同步复位。

对于异步复位,电路对复位信号是电平敏感的,如果复位信号受到干扰,如出现短暂的脉冲跳变,电路就会部分或全部被恢复为初始状态,这是我们不愿看到的。因此,异步复位信号是一个关键信号,在电路设计时,如PCB Layout需要对其优先考虑和作特别保护,避免信号线出现的干扰产生非期望的复位。

对于同步复位,电路在时钟信号下对复位信号进行采样,复位信号只在时钟的跳变沿(边沿)有效;如果复位信号受到干扰,只要该干扰脉冲不出现在时钟的跳变沿,或者脉冲能量不足以使时钟采样到有效的信号,电路就不会被异常复位,这样可有效降低信号线上出现毛刺等干扰信号所产生误复位操作的概率,提高了电路的抗干扰能力。

在FPGA/CPLD设计中,如果复位信号是通过组合逻辑产生的,我们在仿真的时候经常可以看到,由于组合逻辑的竞争冒险产生的毛刺,会导致采用异步复位设计的电路被误复位;因此在设计当中要对异步复位信号进行同步化处理,避免误操作产生。

具体的做法是:设计一个专门的复位模块,它对复位信号(记为R)进行同步化处理,产生新的复位信号(记为RS),这个RS信号可作为其他模块的复位输入信号;而其他模块的电路可全部采用异步复位的设计方式;这样的设计对复位信号进行统一处理,可根据需要调整,相对灵活,需要注意的是,要尽量降低时钟边沿与复位信号R失效时刻的亚稳态出现概率。

在实际的FPGA/CPLD应用当中,会出现没有外部复位信号的情景,而FPGA/CPLD的时序设计又需要一个复位信号来使内部的寄存器初始化为设定的状态,这时候就需要通过内部逻辑产生一个内部复位信号。

3、FPGA内部自复位方法

内部自复位信号是器件上电后仅产生的信号,之后一直保持无效至器件掉电。这种性信号,产生它的数字电路自身需要一个初始的确定状态,并且需要上电后就处于该种状态;对于FPGA来说,其内部寄存器在上电后的状态是不确定的,即无法预期的,因此利用寄存器的状态来产生复位信号,不是那么可靠;但我们可以考虑FPGA的其他资源,一般FPGA内部都有RAM资源,这些RAM都可以被配置数据初始化的,也就是说当FPGA上电配置完成后,被初始化的RAM的数据内容是确定的。利用这个特点,我们就可以设计可靠的内部自复位信号。

下面给出实现方法:

1)配置一个数据长度为1位,地址长度为n位,且全部初始化为1的单口RAM;

2)设计一个针对该单口RAM的读写模块,其内部维护一个n位读指针rp和一个n位写指针wp,rp在每个时钟节拍将其值赋给wp后并加1,保证rp于wp,将单口RAM的输出数据作为复位信号,另外RAM的输入数据固定为0;这样RAM数据被先读出,然后被置为0,因此上电配置完成后经过2n个时钟节拍,RAM的数据从全1变成全0,从而实现性脉冲信号的产生。另外,通过控制地址长度n或时钟频率,就可得到所需的脉冲宽度。

4、结语

复位信号是时序电路设计的基本信号,虽然只是一个脉冲信号,但要使设计的电路可靠地工作,复位信号也是一个需认真对待的因素。







精彩推荐



至芯科技-FPGA就业培训来袭!你的选择开启你的高薪之路!3月28号北京中心开课、欢迎咨询!
Xilinx FPGA的上电模式的四种类型
FPGA时序约束:如何查看具体错误的时序路径
扫码加微信邀请您加入FPGA学习交流群




欢迎加入至芯科技FPGA微信学习交流群,这里有一群优秀的FPGA工程师、学生、老师、这里FPGA技术交流学习氛围浓厚、相互分享、相互帮助、叫上小伙伴一起加入吧!


点个在看你最好看





原文标题:FPGA内部自复位电路设计方案

文章出处:【微信公众号:FPGA设计论坛】欢迎添加关注!文章转载请注明出处。

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • FPGA
    +关注

    关注

    1602

    文章

    21320

    浏览量

    593201

原文标题:FPGA内部自复位电路设计方案

文章出处:【微信号:gh_9d70b445f494,微信公众号:FPGA设计论坛】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    3KW工业变频器电路设计方案详细说明

    3KW工业变频器电路设计方案详细说明
    的头像 发表于 03-19 08:33 146次阅读
    3KW工业变频器<b class='flag-5'>电路设计方案</b>详细说明

    基于PC机USB接口充电电路设计方案

    电子发烧友网站提供《基于PC机USB接口充电电路设计方案.doc》资料免费下载
    发表于 11-15 09:25 0次下载
    基于PC机USB接口充电<b class='flag-5'>电路设计方案</b>

    一种推挽逆变车载开关电源电路设计方案

    电子发烧友网站提供《一种推挽逆变车载开关电源电路设计方案.doc》资料免费下载
    发表于 11-14 14:23 0次下载
    一种推挽逆变车载开关电源<b class='flag-5'>电路设计方案</b>

    LED路灯驱动电路设计方案

    电子发烧友网站提供《LED路灯驱动电路设计方案.doc》资料免费下载
    发表于 11-14 11:31 1次下载
    LED路灯驱动<b class='flag-5'>电路设计方案</b>

    C波段二级放大电路设计方案

    电子发烧友网站提供《C波段二级放大电路设计方案.pdf》资料免费下载
    发表于 11-07 09:18 2次下载
    C波段二级放大<b class='flag-5'>电路设计方案</b>

    Xilinx FPGA芯片内部时钟和复位信号使用方法

    如果FPGA没有外部时钟源输入,可以通过调用STARTUP原语,来使用FPGA芯片内部的时钟和复位信号,Spartan-6系列内部时钟源是5
    的头像 发表于 10-27 11:26 1085次阅读
    Xilinx <b class='flag-5'>FPGA</b>芯片<b class='flag-5'>内部</b>时钟和<b class='flag-5'>复位</b>信号使用方法

    基于CPLD/FPGA的多串口扩展设计方案

    电子发烧友网站提供《基于CPLD/FPGA的多串口扩展设计方案.pdf》资料免费下载
    发表于 10-27 09:45 3次下载
    基于CPLD/<b class='flag-5'>FPGA</b>的多串口扩展<b class='flag-5'>设计方案</b>

    基于FPGA的PCI硬件加解密卡的设计方案

    电子发烧友网站提供《基于FPGA的PCI硬件加解密卡的设计方案.pdf》资料免费下载
    发表于 10-18 11:18 0次下载
    基于<b class='flag-5'>FPGA</b>的PCI硬件加解密卡的<b class='flag-5'>设计方案</b>

    电动吸奶器电路原理图 电动吸奶器电路设计方案

    今天分享一个电动吸奶器的电路设计方案,如果不知道电动吸奶器是啥玩意的朋友,请自行百度脑补,电路原理图如下。
    发表于 08-24 09:09 2276次阅读
    电动吸奶器<b class='flag-5'>电路</b>原理图 电动吸奶器<b class='flag-5'>电路设计方案</b>

    基于UC3842芯片的升压转换电路设计方案

    共同控制开关管M1的打开和关闭。   结论   本文总结了基于UC3842芯片的升压转换电路设计方案。通过分析DCM模式下Boost变换器的电路,建立了DCM模式下Boost变换器的电路模型,研究了该
    发表于 07-31 16:11

    不同类型的LED驱动电路设计方案

    硬件研发工程师,在项目开发的时候,或多或少会遇到LED驱动的电路设计问题;针对LED驱动电路设计电路一点通给各位小伙伴们分享下不同类型的LED驱动电路
    发表于 06-19 09:08 1657次阅读
    不同类型的LED驱动<b class='flag-5'>电路设计方案</b>

    FPGA入门之复位电路设计

    前面在时序分析中提到过亚稳态的概念,每天学习一点FPGA知识点(9)之时序分析并且在电路设计中如果不满足Tsu(建立时间)和Th(保持时间),很容易就出现亚稳态;在跨时钟域传输的一系列措施也是为了降低亚稳态发生的概率。
    的头像 发表于 05-25 15:55 1034次阅读
    <b class='flag-5'>FPGA</b>入门之<b class='flag-5'>复位</b><b class='flag-5'>电路设计</b>

    FPGA复位电路的实现方式

    有人说FPGA不需要上电复位电路,因为内部自带上电复位信号。也有人说FPGA最好加一个上电
    的头像 发表于 05-25 15:50 2433次阅读
    <b class='flag-5'>FPGA</b><b class='flag-5'>复位</b><b class='flag-5'>电路</b>的实现方式

    复位电路设计分析

    我们在数字电路设计时,为了使系统在上电后处于 **已知的确定状态** ,常使用复位电路来实现这一目的。复位是数字逻辑电路所必须的,无论是最简
    的头像 发表于 05-25 14:48 3215次阅读
    <b class='flag-5'>复位</b><b class='flag-5'>电路设计</b>分析

    FPGA中三种常用复位电路

    FPGA设计中,复位电路是非常重要的一部分,它能够确保系统从初始状态开始启动并保证正确运行。本文将分别介绍FPGA中三种常用复位
    发表于 05-14 14:44 1830次阅读
    <b class='flag-5'>FPGA</b>中三种常用<b class='flag-5'>复位</b><b class='flag-5'>电路</b>