0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

FPGA复位电路的实现——以cycloneIII系列芯片为例

FPGA之家 来源:FPGA之家 2023-03-13 10:29 次阅读

总计:

有人说FPGA不需要上电复位电路,因为内部自带上电复位信号。也有人说FPGA最好加一个上电复位电路,保证程序能够正常地执行。不管是什么样的结果,这里先把一些常用的FPGA复位电路例举出来,以作公示。

方式一:普通IO口加上按键复位电路和上电自动复位电路

ba36fae4-bf62-11ed-bfe3-dac502259ad0.png

上图是两种复位方式的集合,可以实现上电复位和按键复位。电路图中左半部分是实现按键复位的,右图则是实现上电自动复位的。

按键复位: 默认状态电路图为高电平,当按键按下后,电路产生一个低电平的信号,即产生一个下降沿,这样的话,就可以实现低电平的复位信号了。

上电自动复位:刚上电的时候,电容导通,复位RST引脚处为低电平,当电容充满电后,RST引脚处就为高电平了。这样也产生了低电平的复位信号。

只要将相应的引脚连接至复位引脚处,就能控制电路系统的复位了。

方式二:nCONFIG引脚输入信号作为系统复位

参考cycloneIII的handbook,可以发现下面这样一段话。现摘录如下:

ba49c3ae-bf62-11ed-bfe3-dac502259ad0.png

下面则是一个很常用的复位电路,正好使用到了这部分的复位电路。电路中既有系统复位的部分,又有按键复位的部分。

ba5e35c8-bf62-11ed-bfe3-dac502259ad0.png

具体的介绍如下,系统复位方式采用的普通的按键复位电路实现。而用户复位按钮,则是对nCONFIG引脚的控制进行复位的,这个引脚连接的是DEV_CLKn引脚,而在上面的介绍中,我们可以看到nCONFIG至少保持低电平500ns以上,就可以实现对整个cycloneIII系列FPGA的重新复位。需要注意的是,这要求QuartusII的设置中不能讲DEV_CLRn配置为普通引脚。

ba6d1d9a-bf62-11ed-bfe3-dac502259ad0.png

方式三:用其他MCU控制FPGA的上电复位,既可以将MCU的复位引脚和FPGA的复位引脚连在一起,也可以MCU单独引脚复位引脚对FPGA进行控制。

MCU控制FPGA的复位可以在方式一和方式二的方式上进行实现。

例如在底板的STM32中添加按键复位,这个复位既连接了STM32本身的复位信号,又连接了FPGA相应的复位信号引脚,这样的话,就可以达到STM32和FPGA同时复位的效果。当然你也可以使用上电自动复位电路进行实现。

还有一种方式就是,MCU引出一个引脚,控制这个引脚输入低电平,延时一段时间后再重新拉高,这样的话,就会产生一个上升沿的信号,同样可以控制FPGA复位。

总结与讨论:

总之,实现FPGA复位的方式有很多。可能不仅仅上面例举的一些。而且,上面的实现方式,可能并不是最好的,仅仅作为一种参考。

下面进行一些讨论。

FPGA在组合电路中是没有时钟信号的,这样的话,也就不可能添加所谓的复位信号,复位信号应该不是必须的。

疑问:

cycloneIII系列程序的下载过程如下:

ba802ae8-bf62-11ed-bfe3-dac502259ad0.png

(1)首先注意的nCONFIG引脚,当其由低电平进入高电平时,程序进入配置过程。

在此过程中,nSTATUS引脚也由低置高,程序进入配置过程。

波形有从低电平到高电平的跳变过程,说明进入了配置过程。

(2)然后注意的引脚是CONFIG_DOWN引脚,当其由低电平进入高电平时,程序配

置完成,进入初始化,这部分才算程序下载完毕。

这样的话,我是否可以使用CONFIG_DOWN引脚输出,连接相应的复位RST引脚,遮样的话,就可以自动的上电自动复位,而不用添加任何的辅助电路?

审核编辑:汤梓红

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • FPGA
    +关注

    关注

    1602

    文章

    21320

    浏览量

    593194
  • 引脚
    +关注

    关注

    16

    文章

    1044

    浏览量

    48958
  • 复位电路
    +关注

    关注

    13

    文章

    308

    浏览量

    44276
  • IO口
    +关注

    关注

    3

    文章

    164

    浏览量

    23756
  • CycloneIII
    +关注

    关注

    0

    文章

    2

    浏览量

    5972

原文标题:FPGA复位电路的实现——以cycloneIII系列芯片为例

文章出处:【微信号:zhuyandz,微信公众号:FPGA之家】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    fpga设计实战:复位电路仿真设计

    最近看advanced fpga 以及fpga设计实战演练中有讲到复位电路的设计,才知道复位电路
    发表于 09-01 15:37 1504次阅读
    <b class='flag-5'>fpga</b>设计实战:<b class='flag-5'>复位</b><b class='flag-5'>电路</b>仿真设计

    FPGA配置启动详解系列——PS重配置

    小容量的FPGA实现,但是怎么实现现在可编程呢?这时候ALTERA FPGA的PS模式下载和FPP模式下载就有用了。 现在
    发表于 04-26 14:27

    FPGA的配置电路

    初学fpga,设计电路,用的EP3CLS200F780I7,altera的cycloneIII的所有芯片配置电路(最小系统
    发表于 03-08 09:15

    FPGA连载12:状态初始——复位电路

    FPGA连载12:状态初始——复位电路特权同学,版权所有配套例程和更多资料下载链接:http://pan.baidu.com/s/1c0nf6Qc
    发表于 07-25 15:19

    FPGA连载17:时钟与复位电路设计

    `FPGA连载17:时钟与复位电路设计特权同学,版权所有配套例程和更多资料下载链接:http://pan.baidu.com/s/1c0nf6Qc
    发表于 08-08 17:31

    FPGA复位电路的设计

    复位电路本文节选自特权同学的图书《FPGA设计实战演练(逻辑篇)》配套例程下载链接:http://pan.baidu.com/s/1pJ5bCttFPGA器件在上电后都需要有一个确定的
    发表于 04-12 06:35

    怎么样用外部复位电路对MCU系列芯片进行复位

    启动到稳定的时间较长的低频振荡器,我们需要用外部的上电复位电路来延长复位保证复位的正常进行。如图1.1所示, 一般的外接上电
    发表于 03-24 11:23

    FPGA设计中常用的复位设计

    信号需在电源稳定后经过一定的延时才能撤销,FPGA复位信号需保证正确、稳定、可靠。在FPGA的设计中,多数情况下复位电路的功能虽能够正常完
    发表于 06-30 07:00

    CYCLONEIII

    CYCLONEIII,好东西,喜欢的朋友可以下载来学习。
    发表于 02-22 15:03 0次下载

    FPGA的理想的复位方法和技巧

    FPGA设计中,复位起到的是同步信号的作用,能够将所有的存储元件设置成已知状态。在数字电路设计中,设计人员一般把全局复位作为一个外部引脚来实现
    发表于 11-22 17:03 5192次阅读
    <b class='flag-5'>FPGA</b>的理想的<b class='flag-5'>复位</b>方法和技巧

    FPGA怎么搭复位电路 fpga复位电路设计方案

    FPGA的可靠复位是保证系统能够正常工作的必要条件,本文对FPGA设计中常用的复位设计方法进行了分类、分析和比较,并针对各种复位方式的特点,
    发表于 08-08 15:14 1w次阅读

    FPGA设计实战-复位电路仿真设计

    最近看 advanced fpga 以及 fpga 设计实战演练中有讲到复位电路的设计,才知道复位电路
    的头像 发表于 10-30 12:17 346次阅读

    实现FPGA实战复位电路的设计和仿真

    最近看 advanced fpga 以及 fpga 设计实战演练中有讲到复位电路的设计,才知道复位电路
    发表于 12-22 12:54 13次下载
    <b class='flag-5'>实现</b><b class='flag-5'>FPGA</b>实战<b class='flag-5'>复位</b><b class='flag-5'>电路</b>的设计和仿真

    FPGA复位电路实现方式

    有人说FPGA不需要上电复位电路,因为内部自带上电复位信号。也有人说FPGA最好加一个上电复位
    的头像 发表于 05-25 15:50 2431次阅读
    <b class='flag-5'>FPGA</b><b class='flag-5'>复位</b><b class='flag-5'>电路</b>的<b class='flag-5'>实现</b>方式

    RC复位电路中R如何影响芯片复位

    RC复位电路中R如何影响芯片复位? RC复位电路是常见的一种
    的头像 发表于 10-25 11:07 782次阅读