0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

介绍用ModelSim独立仿真带Vivado IP核的仿真方案

FPGA技术江湖 来源:网络交换FPGA 2023-02-06 14:22 次阅读

之前介绍了用ModlSim独立仿真带ISE IP核的仿真工程,本次介绍用ModelSim独立仿真带Vivado IP核的仿真工程。整体步骤基本一样,只是do文件分成了两个文件。使用工具Vivado2017.2 && Modelsim 10.5。

操作步骤

1、找到Vivado调用Modelsim仿真时自动产生的仿真文件,如下图红线所示。

f2a8a240-a3c1-11ed-bfe3-dac502259ad0.jpg

2、在behave文件夹同级路径下新建立一个文件夹,本实例中为test。

f2bf2cae-a3c1-11ed-bfe3-dac502259ad0.jpg

3、将所需的仿真文件复制到新文件夹中。

f2ce1016-a3c1-11ed-bfe3-dac502259ad0.jpg

4、将两个.do文件的内容合并成一个文件。

我采取的办法是新建一个.do文件,即tb_top_test.do,然后用sublime打开tb_top_compile.do,将除quit –force语句的其他所有内容复制进tb_top_test.do,然后用sublime打开tb_top_simulate.do,将除do {tb_top_wave.do}和接下来的语句的其他所有内容复制进tb_top_test.do,然后保存tb_top_test.do。

f2dc5cc0-a3c1-11ed-bfe3-dac502259ad0.jpg

f2ee16b8-a3c1-11ed-bfe3-dac502259ad0.png

5、打开modelsim,新建工程,在Project_location选步骤3新建的文件夹,Copy_SettingsFrom选择该文件夹下的modelsim.ini文件,然后点击OK.

f317f4d8-a3c1-11ed-bfe3-dac502259ad0.jpg

6、点击close

f3380fe8-a3c1-11ed-bfe3-dac502259ad0.jpg

7、运行步骤4所生成的.do文件

f346eef0-a3c1-11ed-bfe3-dac502259ad0.jpg

8、运行后会出现下图所示的界面,将信号添加进波形图。

f3590130-a3c1-11ed-bfe3-dac502259ad0.jpg

9、在波形界面进行仿真,第一次运行出现下图所示界面,点击否(这个界面可能多次弹出,点否就行了)。

f386d16e-a3c1-11ed-bfe3-dac502259ad0.png

10、再进行仿真,即可得到波形图

f3a4b440-a3c1-11ed-bfe3-dac502259ad0.jpg







审核编辑:刘清

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • ModelSim
    +关注

    关注

    5

    文章

    172

    浏览量

    46757
  • Vivado
    +关注

    关注

    18

    文章

    790

    浏览量

    65103

原文标题:用Modelsim独立仿真带Vivado IP核的仿真工程

文章出处:【微信号:HXSLH1010101010,微信公众号:FPGA技术江湖】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    怎样单独使用modelsim仿真xilinx呢?

    直接在modelsim软件内执行.do文件进行仿真,不通过vivado调用modelsimvivado仅用于生成
    的头像 发表于 12-04 18:26 591次阅读
    怎样单独使用<b class='flag-5'>modelsim</b><b class='flag-5'>仿真</b>xilinx呢?

    如何仿真IP(建立modelsim仿真库完整解析)

    如何仿真IP(建立modelsim仿真库完整解析)
    发表于 08-15 13:16

    fft ip仿真的验证

    quartus II调用modelsim仿真fft ip仿真结束后我想验证下数据是否正确
    发表于 09-20 12:48

    modelsim 仿真 altera IP(ROM,RAM实例

    modelsim 仿真 altera IP(ROM,RAM实例)急求大神们ROM和RAM 的综合仿真代码
    发表于 11-19 21:02

    Vivado生成IP

    vivado生成ip后缺少一大片文件,之前是可以的,中途卸载过Modelsim
    发表于 04-24 23:42

    基于vivado平台和modelsim仿真和应用测试

    很多人用zynq平台做视频图像开发,但是对vdma了解比较少,上手起来稍微有些困难,我针对这一现象,做了一个基于vivadomodelsim仿真和应用测试工程,并写篇文章做些介绍
    发表于 06-30 14:33 5411次阅读
    基于<b class='flag-5'>vivado</b>平台和<b class='flag-5'>modelsim</b>的<b class='flag-5'>仿真</b>和应用测试

    VCS独立仿真Vivado IP核的一些方法总结

    前年,发表了一篇文章《VCS独立仿真Vivado IP核的一些方法总结》(链接在参考资料1),里面简单讲述了使用VCS仿真
    的头像 发表于 03-22 10:31 3511次阅读

    浅析modelsim 自动化 高效独立仿真vivado IP核的工程

    1.modelsim编译vivado库 1)双击启动vivado软件,如下图操作。 2)Simulator:选对应的, Language:都选all, Verilog与vhdl都用可能
    的头像 发表于 06-01 11:33 2428次阅读
    浅析<b class='flag-5'>modelsim</b> 自动化 高效<b class='flag-5'>独立</b><b class='flag-5'>仿真</b>含<b class='flag-5'>vivado</b> <b class='flag-5'>IP</b>核的工程

    Vivado调用Questa Sim或ModelSim仿真小技巧

    Vivado调用Questa Sim或ModelSim仿真中存在的一些自动化问题的解决方案Vivado调用Questa Sim
    的头像 发表于 09-02 10:12 7390次阅读
    <b class='flag-5'>Vivado</b>调用Questa Sim或<b class='flag-5'>ModelSim</b><b class='flag-5'>仿真</b>小技巧

    VivadoModelSim的联合仿真操作

    Vivado自带的仿真,个人觉得跑一些小模块的仿真还是可以的,不过跑大的仿真系统,容易无体验感,建议用第三方工具,这边就直接对ModelSim
    的头像 发表于 03-11 11:32 6404次阅读

    使用VCS仿真Vivado IP核时遇到的问题及解决方案

    前年,发表了一篇文章《VCS独立仿真Vivado IP核的一些方法总结》(链接在参考资料1),里面简单讲述了使用VCS仿真
    的头像 发表于 08-29 14:41 1619次阅读

    VCS独立仿真Vivado IP核的问题补充

    仿真Vivado IP核时分两种情况,分为未使用SECURE IP核和使用了SECURE IP核。
    的头像 发表于 06-06 14:45 1276次阅读
    VCS<b class='flag-5'>独立</b><b class='flag-5'>仿真</b><b class='flag-5'>Vivado</b> <b class='flag-5'>IP</b>核的问题补充

    VCS独立仿真Vivado IP核的问题补充

    仿真Vivado IP核时分两种情况,分为未使用SECURE IP核和使用了SECURE IP核。
    的头像 发表于 06-20 14:23 667次阅读
    VCS<b class='flag-5'>独立</b><b class='flag-5'>仿真</b><b class='flag-5'>Vivado</b> <b class='flag-5'>IP</b>核的问题补充

    Vivado调用Modelsim仿真

    Modelsim是十分常用的外部仿真工具,在Vivado中也可以调用Modelsim进行仿真,下面将介绍
    的头像 发表于 07-24 09:04 2009次阅读
    <b class='flag-5'>Vivado</b>调用<b class='flag-5'>Modelsim</b><b class='flag-5'>仿真</b>

    vivado软件和modelsim软件的安装方法

    本文详细介绍vivado软件和modelsim软件的安装,以及vivado中配置modelsim仿真
    的头像 发表于 08-07 15:48 1724次阅读
    <b class='flag-5'>vivado</b>软件和<b class='flag-5'>modelsim</b>软件的安装方法