0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

一文详解带复位的SR触发器和JK触发器

CHANBAEK 来源:志芯 作者:志芯 2023-01-31 17:52 次阅读

带复位的SR触发器

图1是基本SR触发器及其真值表。

pYYBAGPY47mAN2lFAAMDI5PAkXs669.png

图1 基本SR触发器及其真值表

poYBAGPY48mAMB5ZAAIvBR6UnK8575.png

图2 异步复位SR触发器的verilog描述

图2的SR触发器在图1的基本SR触发器的基础上添加了异步复位,所以多了一个rst信号,当rst为高电平,则SR触发器复位到0。当rst为低电平时,那么此时该触发器的功能和基本SR触发器一致。

另外该module将SR触发器中的S和R信号合并为一个2bit位宽的一个信号input [1:0] sr,在综合工具编译的时候应该会编译成sr[0]和sr[1](高低位)。

带复位的JK触发器

JK触发器是数字电路触发器中的一种基本电路单元。JK触发器具有置0、置1、保持和翻转功能。在各类集成触发器中,JK触发器的功能最为齐全。在实际应用中,它不仅有很强的通用性,而且能灵活地转换其他类型的触发器。由JK触发器可以构成D触发器和T触发器。

poYBAGPY49qAcgvjAAHmbXuCGOQ560.png

图3 基本JK触发器

poYBAGPY4-qAfMKCAALBo6y5SWw894.png

图4 基本JK触发器真值表

pYYBAGPY5ACAMp8YAAI0UbF0shM923.png

图5 带复位的JK触发器的verilog描述

该JK触发器module描述与上述的SR触发器类似,唯一的区别在于真值表的不同。

D触发器

D触发器是常用的触发器,时钟高电平下,D端数据赋值给Q端。

pYYBAGPY5BGAFoj3AAJHJYH5I-0621.png

图6 D触发器verilog描述

T触发器

图7是基本T触发器。

pYYBAGPY5CuAckSEAAVc6Ijw8Zo951.png

图7 T触发器

pYYBAGPY5DyAGFVIAAIgv327RXo385.png

图8 T触发器的verilog描述

主从触发器

主从是串联连接的两个触发器的组合,其中一个充当主机,另一个充当从机。每个触发器都连接一个互补的时钟脉冲,即如果时钟脉冲处于高电平状态,则主触发器处于使能状态,从触发器处于禁用状态,如果时钟脉冲为低电平,主触发器处于禁用状态,从触发器处于启用状态。主从触发器也称为脉冲触发器,因为在此操作模式下,触发器可以通过 CLK 脉冲启用或禁用。

pYYBAGPY5EuAPT35AAFpSJ4dq4Y267.png

图9 主从触发器

poYBAGPY5HCATPnAAAMndsudqh4246.png

图10 主从触发器verilog描述

在主从触发器中我们可以看到,verilog描述提前定义了一个基本D触发器,并在描述中调用了两次该module。主从触发器中的主触发器的输入pin从module端口定义,从触发器的输入pin用wire变量定义。

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • Verilog
    +关注

    关注

    28

    文章

    1326

    浏览量

    109302
  • JK触发器
    +关注

    关注

    1

    文章

    28

    浏览量

    15632
  • 触发器
    +关注

    关注

    14

    文章

    1681

    浏览量

    60404
  • SR触发器
    +关注

    关注

    0

    文章

    11

    浏览量

    12545
  • 异步复位
    +关注

    关注

    0

    文章

    45

    浏览量

    13251
收藏 人收藏

    评论

    相关推荐

    JK触发器基本教程,讲的超详细!!

    操作与具有相同“置位”和“复位”输入的先前SR触发器完全相同。这次的区别是,即使S和R都为逻辑“ 1” ,“ JK触发器”也没有
    发表于 02-01 09:15

    jk触发器是什么原理

    jk触发器是什么原理jk触发器特性表和状态转换图
    发表于 02-26 08:18

    锁存器和触发器原理

      1、掌握锁存器、触发器的电路结构和工作原理;   2、熟练掌握SR触发器JK触发器、D触发器
    发表于 08-18 16:39 0次下载

    JK触发器,JK触发器是什么意思

    JK触发器,JK触发器是什么意思 1.主从JK触发器主从结构
    发表于 03-08 13:36 6209次阅读

    JK触发器原理是什么?

    JK触发器原理是什么? JK触发器是一种功能较完善,应用很广泛的双稳态触发器。图9-5(a)所示是一种典型结构的
    发表于 03-08 13:41 2.4w次阅读

    JK触发器工作原理详细介绍

    JK触发器工作原理详细介绍 JK触发器,采用与或非电路结构,它的工作原理为:CP为0时,触发器处于一个稳态;CP由0变1时,
    发表于 03-08 13:47 5.1w次阅读

    jk触发器是什么原理_jk触发器特性表和状态转换图

    JK触发器是数字电路触发器中的一种基本电路单元。JK触发器具有置0、置1、保持和翻转功能,在各类集成触发
    发表于 12-25 17:30 17.9w次阅读
    <b class='flag-5'>jk</b><b class='flag-5'>触发器</b>是什么原理_<b class='flag-5'>jk</b><b class='flag-5'>触发器</b>特性表和状态转换图

    jk边沿触发器工作原理

    本文开始介绍了JK触发器工作特性与边沿JK触发器的特点,其次介绍了边沿JK触发器工作原理与特点,
    发表于 01-30 17:17 3.6w次阅读
    <b class='flag-5'>jk</b>边沿<b class='flag-5'>触发器</b>工作原理

    jk触发器逻辑表达式是什么?

    K触发器是数字电路触发器中的一种基本电路单元。JK触发器具有置0、置1、保持和翻转功能,在各类集成触发器中,
    发表于 02-08 14:36 5.1w次阅读
    <b class='flag-5'>jk</b><b class='flag-5'>触发器</b>逻辑表达式是什么?

    JK人字拖触发器和函数表

    JK触发器类似于SR触发器,但当J和K输入均为低电平时,状态没有变化,JK触发器的顺序操作与前一
    的头像 发表于 06-26 15:56 5559次阅读
    <b class='flag-5'>JK</b>人字拖<b class='flag-5'>触发器</b>和函数表

    JK触发器逻辑符号_jk触发器的特性方程

    JK触发器是数字电路触发器中的一种基本电路单元。JK触发器具有置0、置1、保持和翻转功能,在各类集成触发
    的头像 发表于 11-08 14:48 8.6w次阅读
    <b class='flag-5'>JK</b><b class='flag-5'>触发器</b>逻辑符号_<b class='flag-5'>jk</b><b class='flag-5'>触发器</b>的特性方程

    四种触发器的状态方程 简述四种基本触发器及功能

    置位;当S = 1,R = 1时,触发器复位;当S = 0,R = 0时,触发器为禁止状态。 JK触发器
    的头像 发表于 02-06 14:01 1817次阅读

    t触发器jk触发器的区别和联系

    触发器是数字电路中常用的组合逻辑电路,在现代电子系统中有着广泛的应用。其中,最常用的两种触发器是T触发器JK触发器。本文将详细介绍T
    的头像 发表于 02-06 14:04 1077次阅读

    如何用jk触发器构成t触发器?t触发器逻辑功能有哪些

    触发器,其输入信号作用于触发器触发器将根据输入信号进行状态切换。本文将详细介绍如何使用JK触发器构成T
    的头像 发表于 02-06 14:11 1397次阅读

    Jk触发器的故事

    Jk触发器的故事
    的头像 发表于 02-23 04:32 268次阅读