0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

常见的Warning问题解决方法

FPGA之家 来源:FPGA之家 作者:FPGA之家 2022-09-02 09:31 次阅读

1、[Synth 8-2611] redeclaration of ansi port XXX is not allowed

程序中重复声明输出端口信号

2、[Constraints 18-619] A clock with name 'InClk' already exists

描述:

在约束文件XDC(SCOPED_TO_REF、SCOPED_TO_CELLS)中使用“create_clock -name”约束时,在打开综合设计或实现设计时,或者在综合或实现期间,可以观察到以下警告。

[Constraints 18-619] A clock with name 'InClk' already exists overwriting the previous clock with the same name. ["E:/Vivado_Project/DispTimGen/DispTimGen.srcs/constrs_1/new/DispTimGenTiming.xdc":1]

解决方案:

如果时钟约束已存在于 IP XDC 中,则无需在顶级 xdc 中写入约束。

7f9bdf82-2a50-11ed-ba43-dac502259ad0.png

clk_wiz_0.xdc文件中如下已经约束InClk;

7fb6209a-2a50-11ed-ba43-dac502259ad0.png

当设计中有多个作用域单元/引用的实例时会显示此警告,因此多次读取相同的“create_clock -name”约束。

如警告消息中所示,仅最后一个读取保留,所有其他读取都丢失。

推荐的解决方案是从 create_clock 命令中删除 -name 选项。

如果未使用 -name 选项,系统会根据网络名/位置创建唯一名称。

3、 [Synth 8-2611] redeclaration of ansi port InClk is not allowed

输入端口不需要申明wire型

7fd3b092-2a50-11ed-ba43-dac502259ad0.png

4、 [Vivado 12-1017] Problems encountered:

[Vivado 12-1017] Problems encountered: Failed to delete one or more files in run directory E:/Vivado_Project/DispTimGen/DispTimGen.runs/synth_1

描述:保存Project文件夹为可读模式

解决方案:设置保存Project文件权限,设置为读写模式

5、 [Constraints 18-5210] No constraint will be written out.

解决方案:Vivado 中的一个已知错误,将在 2020.1 中修复(可以忽略)

6、[Common 17-1548] Command failed: can't read "output_ports": no such variable

7fe62b6e-2a50-11ed-ba43-dac502259ad0.png

描述:set_input_delay时,端口命名错误

7ffece12-2a50-11ed-ba43-dac502259ad0.png

解决方案:set_input_delay端口命名时,将< >去掉

80205aa0-2a50-11ed-ba43-dac502259ad0.png

7、[filemgmt 20-2001] Source scanning failed (terminated by user) while processing fileset "sources_1" due to unrecoverable syntax error or design hierarchy issues. Recovering last known analysis of the source files.

重启软件可解决

8、[Synth 8-1849] concatenation with unsized literal; will interpret as 32 bits

问题在了 {} 里面的 “0” 了,原来在拼接符中的0,如果不指定其位数,则软件会将其默认为32bit,如果这个0不在高位,那么在进行赋值的时候就会形成了将一个很多位的数据赋值给了2bit的BramRst。

9、[Constraints 18-549] Could not create 'SLEW' constraint because cell 'OBUFDS_inst' is not directly connected to top level port. 'SLEW' is ignored by Vivado but preserved inside the database.

80411df8-2a50-11ed-ba43-dac502259ad0.png

约束 [18-549] 无法创建“SLEW”约束,因为单元“OBUFDS_inst”未直接连接到顶级端口。Vivado 会忽略“SLEW”,但会保留在数据库中。

注意:INBUFDS与OBUFDS这类源语言是必须要有硬件连接的In或Out接口

审核编辑:彭静
声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 数据
    +关注

    关注

    8

    文章

    6511

    浏览量

    87583
  • 软件
    +关注

    关注

    67

    文章

    4344

    浏览量

    85609
  • 网络
    +关注

    关注

    14

    文章

    7248

    浏览量

    87433

原文标题:Vivado 常见Warning问题解决方法说明

文章出处:【微信号:zhuyandz,微信公众号:FPGA之家】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    Vivado常见Warning问题解决方法

    在约束文件XDC(SCOPED_TO_REF、SCOPED_TO_CELLS)中使用“create_clock -name”约束时,在打开综合设计或实现设计时,或者在综合或实现期间,可以观察到以下警告。
    的头像 发表于 12-15 10:10 728次阅读
    Vivado<b class='flag-5'>常见</b><b class='flag-5'>Warning</b><b class='flag-5'>问题解决方法</b>

    PADS2007问题解决方法

    {:soso_e100:}PADS软件也是电子工程师用的比较多的一款软件,本文提供一些相关的问题解决方法
    发表于 04-25 13:40

    通信电源系统维护要求及常见问题解决方法(6)

    通信电源系统维护要求及常见问题解决方法(6)
    发表于 08-20 12:16

    Linux嵌入式系统初学者的常见问题解决方法大全

    该文档为Linux嵌入式系统初学者的常见问题解决方法大全(Linux学习指引篇)讲解文档,是一份不错的参考资料,感兴趣的可以下载看看
    发表于 10-16 16:12

    Xavier入门踩坑PWM问题解决方法

    Xavier入门踩坑PWM问题解决方法GPIO问题解决方法PWM问题由于需要做外部传感器的触发同步,所以需要一个方波,考虑用Xavier的PWM,结果折腾了好久发现需要配置内部硬件,折腾了好久也没
    发表于 01-10 08:11

    分享一篇15547电路问题解决方法的记录

    分享一篇15547电路问题解决方法的记录
    发表于 01-25 07:38

    电视卡常见问题解决方法(驱动问题等)

    电视卡常见问题解决方法(驱动问题等) 比如有时发现装不上驱动,请查看自已的系统是否已经装了DirectX9.0C,如果没有,可以到
    发表于 12-06 08:37 2297次阅读

    爱普生打印机清零问题解决方法

    爱普生打印机清零问题解决方法 应该说:epson为了更多的×××才设置这项功能的。听多了epson的狡辩,客户去维修中心解决这个问题,
    发表于 01-14 11:21 2603次阅读

    频展示台自我维护及常见问题解决方法

    频展示台自我维护及常见问题解决方法 自我维护及注意事项   1、不要把视频展示台放置在不稳定的推车、台面或桌
    发表于 02-08 11:44 1426次阅读

    通信电源系统维护要求及常见问题解决方法

    通信电源系统维护要求及常见问题解决方法
    发表于 11-08 17:07 101次下载

    protel 99se 使用技巧以及常见问题解决方法

    protel 99se 使用技巧以及常见问题解决方法:里面有一些protel 99se 特别技巧,还有我们经常遇到的一些问题!
    发表于 09-13 15:22 0次下载

    基于MPC7448的高端PowerPC常见问题解决方法

    基于MPC7448的高端PowerPC常见问题解决方法
    发表于 09-23 17:16 46次下载

    通用智能后视镜常见问题解决方法

    通用后视镜常见问题解决方法以及高德地图的下载和安装方法
    发表于 11-17 15:37 23次下载

    Jlink V8灯不亮USB无法识别及克隆版问题解决方法资料下载

    Jlink V8灯不亮USB无法识别及克隆版问题解决方法资料
    发表于 02-27 11:31 0次下载

    PCB压合问题解决方法

    PCB压合问题解决方法
    的头像 发表于 01-05 10:32 342次阅读