0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

编辑与改写IP核源文件的方法

FPGA设计论坛 来源:FPGA设计论坛 作者:FPGA设计论坛 2022-08-25 14:38 次阅读

有些时候,根据设计需求可能会想要修改IP核生成的源文件(只能修改未加密文件),包括HDL文件和XDC约束文件。这种修改不能直接修改源文件,因为在后续设计流程中,IP可能会复位或重新生成,导致修改操作被复原。本文将介绍编辑与改写IP核源文件的方法,不过仍然需要注意两点:

某些IP核包含其它的层次化子IP核,这类IP核源文件不可修改;

IP核的Core Cotainer特性必须被禁用。

改写IP约束文件

IP核生成时会生成相应的约束文件,包括物理约束(physical constraint)和时序约束(timing constraint)。可能需要修改的物理约束有LOC、PACKAGE_PIN等;部分时序约束可以修改,但是在*_ooc.xdc中定义的系统工作时钟频率强烈建议不要修改。

修改IP约束有两种方法:(1).使用下文描述的特殊方法编辑IP的XDC文件;(2).XDC文件有一个编译顺序属性,IP核的XDC文件该属性为EARLY,较早执行;用户自定义的顶层约束文件该属性为NORMAL,较晚执行。因此可以通过用户约束文件(或Tcl文件)来重新约束某一对象,达到改写的效果。

在综合IP核时,物理约束会被忽略,因此改写物理约束的效果只会在实现阶段才能看见;而时序约束的改写在综合和实现阶段都会使用。但是有如下两种特例:

XDC命令通常按顺序执行,但是对于时序约束而言并不总是如此。比如假设一个时序路径设置已经失败,之后又对该路径的max_delay做了约束,这样并不会改变路径的失败状态,因为路径失败有更高的优先级,这种情况下必须通过编辑IP源文件来实现修改;

某些操作和命令不能再XDC文件中执行,必须通过Tcl文件来实现。比如想要改变BUFG_GT单元的LOC属性,设计者必须删除当前的设置后才能设置新的LOC。执行该操作用到的reset_property命令只能在Tcl文件中执行。

设置IP约束适用范围

生成的IP核要在设计中实例化,将IP约束应用到IP的实例化单元中有两个属性可以控制:

SCOPED_TO_REF:规定XDC文件应用到哪些模块;

SCOPED_TO_CELLS:规定XDC文件应用到模块中的哪些单元。

在Tcl Console中输入“report_compile_order -constraints”命令可以查看这两个属性及XDC文件的编译顺序,如下图:

f2c4fefc-242a-11ed-ba43-dac502259ad0.png

SCOPED_TO_REF属性通常是IP核的用户设置名称;SCOPED_TO_CELLS属性通常在Verilog中为inst,在VHDL中为U0。最好的设计方法是创建一个新的XDC或Tcl文件,将所有的XDC/Tcl命令放在该文件中,用来改写IP的XDC文件,并且设置相应的SCOPED_TO_REF和SCOPED_TO_CELLS属性。具体步骤如下:

1.创建一个新的XDC或Tcl文件并添加到状态为Active的约束集中;

2.将所有需要改写IP XDC的XDC或Tcl命令放在新文件中;

3.使用set_property命令设置新文件的两个属性,相关语句如下:

set_property SCOPED_TO_REF [get_files ]

set_property SCOPED_TO_CELLS [get_files ]

4.将XDC/Tcl文件标记为仅用于实现:

set_property USED_IN IMPLEMENTATION [get_files ]

编辑IP源文件

编辑IP之前需要先生成所有的IP核相关文件,包括DCP设计检查点文件。使用下面命令将XCI文件的ISMANAGED属性设置为False:

set_property IS_MANAGED false [get_files .xci]

如果IP核是一个复杂的子系统,会提示如下错误:

ERROR: [IP_Flow 19-3666] The is_managed property cannot be directly modified for hierarchical IP.

如果IP核启用了Core Cotainer,会提示如下错误:

ERROR: [IP_Flow 19-4671] The is_managed property cannot be directly modified for IP in a core container.

这也是本文开头提到的两点限制。经过上述设置,IP核的IS_LOCKED属性会变为TRUE,且IP图标改变,如下图:

f2e1fe6c-242a-11ed-ba43-dac502259ad0.png

点击Tools->Report->Report IP Status报告IP状态,可以查看到IP核状态为“User-managed IP”,该状态下即可对IP核的未加密HDL文件和XDC文件进行修改:

f2f97f06-242a-11ed-ba43-dac502259ad0.png

完成需要的编辑之后,必须在Tcl控制台中复位IP,使用“reset_run _synth_1”命令,之后使用“launch_run _synth_1”使用修改后的HDL或约束文件重新运行IP。这样便完成了对IP源文件的编辑。

虽然IP子系统的IS_MANAGED属性不允许修改,但可以通过如下途径完成对IP子系统的源文件修改:其余步骤都相同,只是设置属性步骤替换为将Vivado的编辑器设置为其它编辑器(不用默认文本编辑器),在磁盘中直接编辑IP核的RTL源文件。需要注意,相关状态不会显示在Vivado中,因此设计者需要自己明白文件的状态。

修改后一定要注意锁住修改的IP

修改完相应的IP之后,一定要使用下面这个命令,将修改的IP锁住

set_property IS_LOCKED true [get_files <你修改的文件名>]

虽然不锁住,依然可以正常工作,但是一旦重新更改IP(可能是其它IP),重新generate output products后,很有可能之前的修改就作废了。。需要重新修改一遍,并且这个时候还很容易忽略掉这个事情,导致一个简单的bug让自己烦很久。。。

总而言之,修改IP核的源文件是一件很危险的事,因为可能会导致IP核不能正常工作。修改之前要确保自己的设计目标;修改后要验证IP核的功能准确性。

审核编辑:彭静
声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • IP
    IP
    +关注

    关注

    5

    文章

    1399

    浏览量

    148262
  • 编译
    +关注

    关注

    0

    文章

    611

    浏览量

    32358
  • 编辑器
    +关注

    关注

    1

    文章

    787

    浏览量

    30124

原文标题:Vivado修改IP源文件的注意事项

文章出处:【微信号:gh_9d70b445f494,微信公众号:FPGA设计论坛】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    IP简介

    本帖最后由 eehome 于 2013-1-5 09:59 编辑 IP简介IP是指:将一些在数字电路中常用但比较复杂的功能块,如F
    发表于 07-06 14:15

    FPGA上对OC8051IP的修改与测试

    FPGA上对OC8051IP的修改与测试FPGA上对OC8051IP的修改与测试单片机与嵌入式系统 解放军信息工程大学 杨先文 李峥引 言20世纪80年代初,Intel公司推出了M
    发表于 08-11 11:41

    IP生成文件:XilinxAltera

    IP生成文件:XilinxAlteraIP 生成文件:(Xilinx/Altera同) IP
    发表于 08-12 12:21

    【连载视频教程(四)】小梅哥FPGA设计思想与验证方法视频教程之高性能计数器IP使用

    明白:学会使用计数器IP不是不是目的,目的是感受使用IP进行设计的便捷性。好了,大家请看视频教程:视频源文件在此,大家可以转存到自己的百
    发表于 09-22 14:06

    ip使用问题

    我调用了一个ip 在下载到芯片中 有一个time-limited的问题 在完成ip破解之后 还是无法解决 但是我在Google上的找到一个解决
    发表于 05-17 10:28

    quartus 12.1生成NCO IP 失败,卡死问题

    本帖最后由 liu1032042013 于 2017-5-3 22:16 编辑 使用quartus 12.1生成NCO IP 失败,进度条一直卡着不动,经百度得网友分享的方法
    发表于 05-02 21:39

    vivado带ip的工程封装

    请教一下,vivado怎么把带ip的工程进行封装,保证代码不可见,可以通过端口调用。我尝试了以下方法,ippackage,如果要在另一个程序里调用,也要提供源代码;另一个方法是将网表
    发表于 07-14 09:18

    如何使用IP生成的xdc文件

    如何使用这个用IP生成的xdc文件?是否有任何标准的方法来使用它们摆脱crtical警告?非常感谢。以上来自于谷歌翻译以下为原文When we create some
    发表于 03-26 12:29

    QUARTUS II中IP的调用方法

    很多人都说QUARYUSII中的IP是收费的,不可以直接用的,其实不然,下面我以FIR滤波器的的使用来给大家介绍IP的使用,希望对大家
    发表于 06-03 09:09

    基于IP的FPGA设计方法是什么?

    的分类和特点是什么?基于IP的FPGA设计方法是什么?
    发表于 05-08 07:07

    调音板--protel源文件

    调音板--protel源文件 原理图 PCB图 都是源文件
    发表于 03-10 17:06 0次下载

    音调板 protel格式源文件

    音调板 protel格式源文件 PCB源文件
    发表于 06-15 17:36 46次下载

    Vivado将模块封装为IP方法介绍

    在给别人用自己的工程时可以封装IP,Vivado用封装IP的工具,可以得到像xilinx的ip一样的可以配置参数的IP核,但是用其他工程调用后发现还是能看到
    的头像 发表于 06-26 11:33 7466次阅读

    西门子PLC如何把DB快做成源文件

    源文件可以导出成awl文件,可以在其他的环境下改写(比如记事本、excel等),可以拷贝,当然也可以重新导入的sources下面。
    发表于 04-04 08:48 2046次阅读

    AN147的源文件

    AN147的源文件
    发表于 06-05 16:39 3次下载
    AN147的<b class='flag-5'>源文件</b>