0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

抚平芯片设计验证和确认的皱纹

康大争 来源:andyfly7 作者:andyfly7 2022-07-26 15:43 次阅读

如果芯片设计有一张脸,它就会有一两道皱纹,尤其是由于硬件和软件验证日益复杂的挑战而造成的皱纹。

直到最近,系统设计的这两个元素都是在不同的时间分别完成的,硬件设计通常先于软件开发开始。系统集成商将通用硬件块组装到系统中,而不考虑最终将在其上运行的应用软件。通用硬件可能承载了任意数量的可能类型的软件,但并未针对其中任何一种进行优化。该设置对项目团队来说是一个挑战,因为硬件验证和验证必须证明预期的软件可以在硬件上运行,在功耗预算内实现足够的性能以确保成功。

今天,设计已经演变成片上系统 (SoC),这是一种独立的定制硅芯片,可以处理大部分计算工作。同样,SoC 验证重新关注底层硬件和运行在其上的软件之间的交互。因此,验证和确认演变为基于工作负载分析的软件支持验证和确认方法,并在从早期硬件验证到软件集成一直到系统验证的整个设计流程中使用。

抚平由软件依赖性引起的皱纹的关键是设计块和软件工作负载的虚拟化,以及集成各种工具的流线型流程。包括硬件和软件功能的模块虚拟化应在最终设计完成之前完成。

例如,如果定制的操作系统不可用,则可以使用更通用的版本来测试具有大量测试的系统,而无需定制。这减轻了项目后期的测试负担,当完整的定制操作系统可用时,只需要一小部分验证。应用软件也是如此。用于高性能计算的 SoC 可以通过实际工作负载进行验证,以证明数据平面。简化流程可确保每个工具都遵循相同的基本格式。当然,每个工具都需要做一些工作。他们可以在已经完成的工作的基础上,只关注与不同验证阶段相关的增量工作,而不是每次工具转移都从头开始。

使用软件配置验证环境可以实现模型和存根,以对关键元素进行有针对性的验证,而无需完全可用不相关的模块。可以对数据工作负载进行虚拟化,以彻底审查处理效率。设计演变为一组源自架构阶段的互连块。随着设计的进展,这些块逐渐被细化,环境的共同性质有助于在组和工具之间来回移动不同的块,而无需大量返工。

硬件辅助验证非常适合支持软件的验证和验证方法。开发开始得更快,并且在整个真实世界软件工作负载环境的上下文中验证硬件可以在早期使用模型进行,随着开发中的不同部分逐渐建立系统。虽然可以立即开始验证,但最终的硅前测试侧重于最后一分钟的改进和全系统验证,加速设计流片,提高设计质量,并降低重新设计的风险和成本。它还简化了硅后验证。

统一的支持软件的验证和确认环境打破了硬件设计团队和软件开发人员之间的依赖关系。未来日益复杂的 SoC 需要这种方法。虽然芯片设计没有得到改善,但深层皱纹被抚平了。

审核编辑:彭静
声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 芯片
    +关注

    关注

    446

    文章

    47705

    浏览量

    408875
  • 操作系统
    +关注

    关注

    37

    文章

    6266

    浏览量

    121842
  • 硬件
    +关注

    关注

    11

    文章

    2918

    浏览量

    64722
  • 软件
    +关注

    关注

    67

    文章

    4336

    浏览量

    85582
收藏 人收藏

    评论

    相关推荐

    fpga验证和uvm验证的区别

    FPGA验证和UVM验证芯片设计和验证过程中都扮演着重要的角色,但它们之间存在明显的区别。
    的头像 发表于 03-15 15:00 237次阅读

    形式验证及其在芯片工程中的应用

    形式验证不仅仅是芯片领域中的一个概念。正如文章开头提到过,形式验证强调使用严格的数学推理和形式化技术,以确保系统的行为是否符合预期的性质和规格。
    的头像 发表于 10-20 10:46 458次阅读

    芯片验证模块划分

    任何芯片都需要把芯片划分成更便于管理的小模块/特性进行验证
    的头像 发表于 10-07 14:41 338次阅读

    芯片设计中逻辑仿真和数字验证介绍

    芯片设计的逻辑仿真和数字验证芯片设计流程中非常重要的一环,它主要用于验证芯片的功能和时序等方面的正确性。下面是逻辑仿真和数字
    的头像 发表于 09-14 17:11 766次阅读

    芯片验证板卡设计原理图:基于XCVU440的多核处理器多输入芯片验证板卡

    基于XCVU440T的多核处理器多输入芯片验证板卡基于6U CPCI架构,是单机中的一个计算控制板卡,以Xilinx XCVU440-FLGA2892 FPGA(作为处理器载体)为核心,FPGA
    的头像 发表于 09-12 10:30 614次阅读
    <b class='flag-5'>芯片</b><b class='flag-5'>验证</b>板卡设计原理图:基于XCVU440的多核处理器多输入<b class='flag-5'>芯片</b><b class='flag-5'>验证</b>板卡

    为什么芯片设计中需要做验证呢?验证芯片设计中的重要性

    芯片设计流程中,验证环节是至关重要的一环。它直接关系到芯片的性能、可靠性和成本。
    的头像 发表于 09-11 09:58 1327次阅读

    Testcase在芯片验证中的作用

    随着半导体技术的快速发展,集成电路芯片的复杂度日益增加,芯片设计中的验证工作变得越来越重要。验证的目的是确保芯片在各种工况下的功能正确性和性
    的头像 发表于 09-09 09:32 599次阅读

    芯片验证板卡设计原理图:基于VU440T的多核处理器多输入芯片验证板卡

    基于XCVU440-FLGA2892的多核处理器多输入芯片验证板卡为实现网络交换芯片验证,包括四个FMC接口、DDR、GPIO等,板卡用于完成甲方的
    的头像 发表于 08-24 10:58 505次阅读
    <b class='flag-5'>芯片</b><b class='flag-5'>验证</b>板卡设计原理图:基于VU440T的多核处理器多输入<b class='flag-5'>芯片</b><b class='flag-5'>验证</b>板卡

    ic验证是封装与测试么?

    ic验证是封装与测试么?  IC验证是现代电子制造过程中非常重要的环节之一,它主要涉及到芯片产品的验证、测试、批量生产以及质量保证等方面。 IC验证
    的头像 发表于 08-24 10:42 509次阅读

    SoC芯片设计验证详解

    汽车外,还有很多其他行业也能从电子器件的增加受益,当然保障功能安全是大的前提。本文讨论SOC芯片设计验证验证计划和策略以及验证方法。它定义了功能模拟、功能覆盖、
    的头像 发表于 07-31 23:45 882次阅读
    SoC<b class='flag-5'>芯片</b>设计<b class='flag-5'>验证</b>详解

    芯片验证板卡设计原理图:基于XCVU440的多核处理器多输入芯片验证板卡

    本板卡系我司自主研发的基于6U CPCI处理板,适用于多核处理器多输入芯片验证的应用。芯片采用工业级设计。
    的头像 发表于 07-31 15:50 449次阅读
    <b class='flag-5'>芯片</b><b class='flag-5'>验证</b>板卡设计原理图:基于XCVU440的多核处理器多输入<b class='flag-5'>芯片</b><b class='flag-5'>验证</b>板卡

    芯片验证板卡设计原理图:446-基于VU440T的多核处理器多输入芯片验证板卡

    基于XCVU440-FLGA2892的多核处理器多输入芯片验证板卡为实现网络交换芯片验证,包括四个FMC接口、DDR、GPIO等,板卡用于完成甲方的
    的头像 发表于 07-25 14:17 428次阅读
    <b class='flag-5'>芯片</b><b class='flag-5'>验证</b>板卡设计原理图:446-基于VU440T的多核处理器多输入<b class='flag-5'>芯片</b><b class='flag-5'>验证</b>板卡

    验证确认的区别和关系

      两个在质量管理和验证过程中经常使用的术语。以下是它们的区别和关系,包括维基百科的定义、应用和范围: 验证(Verification):根据维基百科的定义,验证是一种评估活动,旨在确认
    的头像 发表于 06-19 10:59 3393次阅读

    浅析芯片验证中的scoreboard

    芯片验证中,我们随机发送数据激励,同时使用scoreboard进行数据完整性检查。
    的头像 发表于 05-04 17:32 585次阅读
    浅析<b class='flag-5'>芯片</b><b class='flag-5'>验证</b>中的scoreboard

    EDA硬核科普|异构验证:整合三大数字芯片验证工具,显著缩短芯片开发周期

    作为数字芯片设计流程中的“责任担当”,EDA仿真验证贯穿了芯片立项、架构定义、芯片设计到流片等环节,且在整个研发过程中占了7成左右的时间。面对日益增长的成本及市场压力,寻找灵活的仿真
    的头像 发表于 04-25 14:52 832次阅读
    EDA硬核科普|异构<b class='flag-5'>验证</b>:整合三大数字<b class='flag-5'>芯片</b><b class='flag-5'>验证</b>工具,显著缩短<b class='flag-5'>芯片</b>开发周期