0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

六触控六输出 IC的产品概述

batai 来源:batai 作者:batai 2022-06-13 15:55 次阅读

1.产品概述

PT8026S 是一款电容式触摸控制 ASIC,支持 6 通道触摸输入,一对一同步 CMOS 输出。算法

有自补偿功能,高抗干扰(可通过 10V 动态 CS 测试)、宽工作电压范围、高穿透力的突出优势。广

泛应用于小家电、智能安防、物联网控制等工业级应用领域。

2.主要特性

工作电压范围:2.4~5.5V

工作电流:4.5mA(正常模式)@VDD=5V&CMOD=10nF

6 通道触摸输入

一对一直接同步 CMOS 输出,未按键时为高电平输出,按键为低电平输出

算法可自补偿修正触摸通道差异或走线长短不一致情况

CMOD 脚外接电容可调节灵敏度,电容越大灵敏度越高

具有防水功能,当水漫或成片水珠覆盖在触摸面板时,按键仍可有效判别

内置稳压源、上电复位和低压复位等硬件模块

内置实时环境自适应、高效数字滤波等软件算法

抗电源纹波能力强,可抵抗<0.5V 的电源纹波,不影响芯片正常工作,不误动

超强抗 EMC 能力,可通过 10V 动态 CS 测试

抗 RF 干扰能力强,同类型产品中,抗对讲机等大功率 RF 发射设备的干扰时具有优异表现。

HBM ESD 优于 5KV

3.封装

pYYBAGKm572AQ7pxAADtCwAK4xU134.png

4.应用电路

poYBAGKm6AWACla4AALXowzV24Y436.png

审核编辑:符乾江

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 控制IC
    +关注

    关注

    0

    文章

    56

    浏览量

    14723
  • 触摸MCU
    +关注

    关注

    0

    文章

    26

    浏览量

    1917
收藏 人收藏

    评论

    相关推荐

    如果是使用步方波的方法来控制电机,要如何实现过电流保护?

    请问一下如果是使用步方波的方法来控制电机,要如何实现过电流保护? 以免电流过大造成板子损坏
    发表于 04-22 08:10

    请问workbench生成代码时怎么选择foc或梯形步法?

    workbench生成代码时怎么选择foc或梯形步法?
    发表于 04-19 07:53

    STSPIN32F0B的TIM1路PWM无输出是怎么回事?

    STSPIN32F0B的TIM1路PWM无输出。PWM的周期中断和比较中断都生效了,但是驱动输出没有任何变化。而且目前的初始状态是3路上桥一直保持全开。哪位大佬用过STSPIN32F0B的指点一下!
    发表于 04-18 07:36

    tc234路都是canfd吗?

    tc234路都是canfd吗
    发表于 02-19 07:37

    LW□-252户外高压交流氟化硫断路器

    LW□-252户外高压交流氟化硫断路器 产品名称:LW□-252户外高压交流氟化硫断路器 所属分类:氟化硫断路器系列天明高压开关 产品
    发表于 01-18 07:38

    详解FPGA大应用领域

    FPGA 所能应用的领域大概可以分成大类,下面听我一一道来。 01 FPGA在通信系统的应用简介 FPGA 在通信领域的应用可以说是无所不能,得益于 FPGA 内部结构的特点,它可以很
    发表于 01-17 17:03

    ADXL1001个引脚,是VDD GND接电源,然后ST STB也要接地Vout才会有正确的输出结果?

    这个评估版共个引脚,是VDD GND接电源,然后ST STB也要接地,Vout才会有正确的输出结果吗
    发表于 12-28 08:10

    【ELF 1开发板试用】板载资源测试2:体验轴传感器

    飞凌嵌入式ELF1开发板(以下简称为“开发板”)将轴传感器器ICM-20607集成在了底板上,最直接的感觉是:如果配上显示屏就可以开发一款游戏。此次依旧做最基础的测试,以下是测试过程记录。一、实验
    发表于 12-15 00:02

    protues中脚开关怎么找

    平常的脚自锁开关怎么在protues中找到或者画出来,谢谢
    发表于 11-30 16:57

    三轴或轴加速度传感器哪种灵敏度高一些?

    DIY平衡控制系统,需要使用加速度传感器,三轴或轴的均可以,哪种灵敏度高一些?
    发表于 11-10 06:46

    求购位半手持数字万用表

    求购手持便携式位半数字表,哪位大神手里有,可以联系我,品牌现货或者diy开发的都行,能够满足位半精度的稳定使用,要手持的,桌面式不考虑,那玩意各种品牌的现货有的是!!
    发表于 10-30 13:13

    FAQ0097 AT32F421 BLDC电机步驱动方式设置说明

    AT32F421_BLDC电机步驱动方式设置说明
    发表于 10-23 06:49

    教你DIY通道RC控制器

    包含代码、详细说明、物料表Diy arduino rc接收器和发射器,通道强大功能!
    发表于 09-26 08:08

    ISM330DLC iNEMO轴惯性传感器模块相关的使用信息和应用提示

    本文档旨在提供 ST LSM6DSL iNEMO 轴惯性传感器模块相关的使用信息和应用提示。
    发表于 09-06 07:12

    VK36W6D QFN16-封装体积6点高灵敏度水位检测触摸IC方案

    产品品牌:永嘉微电/VINKA产品型号:VK36W6D封装形式:SOP16/QFN16L详细资料:13.5/5.474/4.703概述 VK36W6D具有6个触摸检测通道,可用来检测6个点的水位。该
    发表于 08-29 09:52