0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

如何通过采用modelsim仿真波形图实现字符点阵显示

FPGA之家 来源:数字积木 作者:巩文宏 2022-03-15 11:17 次阅读

这个小工程利用modelsim仿真波形图案来显示字符。效果如下:

1842fab2-9646-11ec-952b-dac502259ad0.png

用到的工具如下:

---PCtoLCD2002 : 产生显示用的字符点阵

---Quartus:代码编写,仿真文件生成。

---Modelsim:生成要显示的字符图案。

1,字符点阵产生

PCtoLCD2002端设置如下:

1863e056-9646-11ec-952b-dac502259ad0.png

生成字符点阵:

18907800-9646-11ec-952b-dac502259ad0.jpg

保持字模后,生成的字符点阵文件如下:

18c1d436-9646-11ec-952b-dac502259ad0.jpg

2,字符点阵数据处理

将字符点阵文件中的提示信息,备注,标点符号等全部删除,只留下点阵的编码。并将相邻奇偶两行的数据调整到一行。效果如下:

18e19a0a-9646-11ec-952b-dac502259ad0.png

将处理后的字符文件重命名为 char_code.hex 。

190d27d8-9646-11ec-952b-dac502259ad0.png

3,代码编辑

(1,)字符点阵数据储存

利用BRAM模块来储存字符点阵数据。利用 readmemh 函数加载字符点阵数据。

1921f186-9646-11ec-952b-dac502259ad0.png

(2)波形生成

将从BRAM模块中读出的数据与时钟信号按位相与即可。

193efd58-9646-11ec-952b-dac502259ad0.png

4,仿真

编写仿真文件,运行仿真,展开 out 信号,缩放到合适比例,即可看到字符显示。效果即同文章开头所示。

【注】本工程是利用quartus设置的仿真环境,在仿真设置时,最好将 char_code.hex 也添加进仿真文件列表中,仿真仿真软件找不到该文件。

1959fd7e-9646-11ec-952b-dac502259ad0.png

1842fab2-9646-11ec-952b-dac502259ad0.png

审核编辑:郭婷

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 仿真
    +关注

    关注

    50

    文章

    3872

    浏览量

    132154
  • ModelSim
    +关注

    关注

    5

    文章

    172

    浏览量

    46756

原文标题:利用modelsim波形显示字符【附代码】

文章出处:【微信号:zhuyandz,微信公众号:FPGA之家】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    最实用的Modelsim使用教程

    现Wave窗口,现在就可以仿真了,见下图。 12 Wave窗口 窗口里面已经出现了待仿真的各个信号,点 将开始执行仿真到100ns,继续点
    发表于 03-19 16:40

    proteus波形发生器怎么把波形图调出来

    Proteus是一款功能强大的电子电路设计与仿真软件,其中包括了波形发生器的功能。使用Proteus的波形发生器,您可以快速创建各种波形,并对其进行可视化分析。以下是关于如何在Prot
    的头像 发表于 02-23 16:53 1786次阅读

    如何使用 ModelSim 进行设计仿真

    ModelSim为HDL仿真工具,我们可以利用该软件来实现对所设计的VHDL或Verilog程 序进行仿真,支持IEEE常见的各种硬件描述语言标准。可以进行两种语言的混合
    发表于 01-14 09:47 0次下载

    在Saber中如何输出高质量的原理图或波形图呢?

    在撰写与Saber有关的建模文档和仿真报告时,必然会涉及到相关的原理图和仿真波形图,这时候在Word或PowerPoint中插入高质量的原理图或图形图像是必不可少的。
    的头像 发表于 12-05 14:59 635次阅读
    在Saber中如何输出高质量的原理图或<b class='flag-5'>波形图</b>呢?

    怎样单独使用modelsim仿真xilinx呢?

    直接在modelsim软件内执行.do文件进行仿真,不通过vivado调用modelsim,vivado仅用于生成IP核。
    的头像 发表于 12-04 18:26 590次阅读
    怎样单独使用<b class='flag-5'>modelsim</b><b class='flag-5'>仿真</b>xilinx呢?

    LabVIEW绘制带有多个不同标尺的波形图

    LabVIEW绘制带有多个不同标尺的波形图 通过在同一波形图上使用多个轴,可以使用不同的标尺绘制数据。请按照以下步骤操作。 将波形图或图表控件放在前面板上。 1. 右键点击您要创建多个
    发表于 11-24 19:20

    Arduino篇—点阵屏动态显示

    通过编写程序,实现点阵屏动态显示数字0~9。本节课内容主要通过代码的方式来进行讲解,图形化由于在后续使用
    的头像 发表于 11-01 15:25 444次阅读
    Arduino篇—<b class='flag-5'>点阵</b>屏动态<b class='flag-5'>显示</b>

    温控labview上位机,用波形图表实时显示温度数据,波形图表的时间轴时间变化不固定

    温控labview上位机,用波形图表实时显示温度数据,波形图表的时间轴时间变化Δt不固定,不是一秒获得一个数据显示,请问这是labview的问题,还是stm32芯片问题,还是keil编
    发表于 10-23 09:12

    如何用Python实现Vivado和ModelSim仿真自动化?

    我们在Windows系统下使用Vivado的默认设置调用第三方仿真器比如ModelSim进行仿真时,一开始仿真软件都会默认在波形界面中加载t
    的头像 发表于 09-13 09:23 757次阅读
    如何用Python<b class='flag-5'>实现</b>Vivado和<b class='flag-5'>ModelSim</b><b class='flag-5'>仿真</b>自动化?

    Vivado调用Modelsim仿真

    Modelsim是十分常用的外部仿真工具,在Vivado中也可以调用Modelsim进行仿真,下面将介绍如何对vivado进行配置并调用Models
    的头像 发表于 07-24 09:04 1992次阅读
    Vivado调用<b class='flag-5'>Modelsim</b><b class='flag-5'>仿真</b>

    modelsim自动化仿真实验 利用脚本实现modelsim自动化仿真

    ,然后编译,再添加波形,这样是非常麻烦的。在实际工作中一般不会这样操作,通常我们都会利用脚本实现modelsim的“自动化”编译。实现一键完成电脑自动打开
    的头像 发表于 07-19 10:10 1062次阅读
    <b class='flag-5'>modelsim</b>自动化<b class='flag-5'>仿真</b>实验 利用脚本<b class='flag-5'>实现</b><b class='flag-5'>modelsim</b>自动化<b class='flag-5'>仿真</b>

    基于单片机显示仿手机键盘按键字符仿真程序

    基于单片机显示仿手机键盘按键字符仿真设计资料
    发表于 05-22 15:48 0次下载

    基于单片机串口发送数据点阵屏滚动显示仿真程序

    基于单片机串口发送数据点阵屏滚动显示仿真设计
    发表于 05-22 15:27 0次下载

    使用PlantUml绘制逻辑时序图/波形图

    使用PlantUml绘制时序图,波形图的简单说明如下。
    的头像 发表于 05-14 09:28 1290次阅读
    使用PlantUml绘制逻辑时序图/<b class='flag-5'>波形图</b>

    Labview如何创建波形图的X轴的局部变量。

    本帖最后由 jf_94210865 于 2023-5-9 12:11 编辑 一个很简单的问题,如何创建波形图X轴的局部变量? 我正在编写一个列车模拟运行程序,用到了波形图,现在需要导入一段线路
    发表于 05-09 12:06