0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

如何利用SystemVerilog仿真生成随机数

FPGA技术驿站 来源:TeacherGaoFPGAHub 作者:TeacherGaoFPGAHub 2021-10-30 10:33 次阅读

采用SystemVerilog进行仿真则更容易生成随机数,而且对随机数具有更强的可控性。对于随机变量,在SystemVerilog中可通过rand或randc加数据类型的方式定义。rand表明该变量为随机变量,且在指定范围内服从均匀分布;randc是在rand的基础上要求当生成的随机数已经在指定范围内完成一次遍历之后,将重复遍历,c为cyclic(循环)。声明随机变量后,需要通过constraint限定随机数需要满足的条件。这些都需要在class中声明。

案例1:用小于号《 大于号 》 小于等于号 《= 大于等于号》=创建限定条件

代码如下图所示。代码第6行限定了a0必须小于3,第7行限定了a1必须大于2且小于7。注意,这4个关系运算符不能连写,如代码第8行是不合法的。代码第16行对class实例化,代码第20行为class对象分配内存空间并完成class对象的初始化。代码第22行用于判定随机数是否成功生成,若成功则返回1,否则返回0。

32ec4faa-38e3-11ec-82a8-dac502259ad0.png

编辑:jq

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 仿真
    +关注

    关注

    50

    文章

    3872

    浏览量

    132147
  • Verilog
    +关注

    关注

    28

    文章

    1325

    浏览量

    109298
  • System
    +关注

    关注

    0

    文章

    161

    浏览量

    36567
  • 代码
    +关注

    关注

    30

    文章

    4555

    浏览量

    66736

原文标题:SystemVerilog仿真如何生成随机数

文章出处:【微信号:Lauren_FPGA,微信公众号:FPGA技术驿站】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    如何使用Python生成四位随机数

    为了实现这些目标,Python 为我们提供了random() 模块。random() 是一个内置的 Python 模块,用于生成随机数
    的头像 发表于 04-15 12:47 144次阅读

    请问rt-thread studio如何使用硬件随机数生成器?

    指导一下。 经过查询得知可以使用rt_hw_random_get()函数来获取硬件随机数,请文需要做什么配置才能使用rt_hw_random_get()函数。
    发表于 02-23 08:03

    随机数生成器TRNG外设模块应用要点

    国产车规微控制器原厂云途半导体设计和发售的YTM32ME微控制器上集成的真随机数生成器TRNG(True Random Number Generator)外设模块
    的头像 发表于 11-08 14:52 783次阅读
    真<b class='flag-5'>随机数</b><b class='flag-5'>生成</b>器TRNG外设模块应用要点

    用rand形成的不是真正的随机数,怎么才能达到真正的随机?

    用rand形成的不是真正的随机数啊,,怎么才能达到真正的随机
    发表于 10-30 06:14

    单片机是如何产生随机数的?

    单片机如何产生随机数
    发表于 10-27 06:44

    AT32的随机数的产生

    AT32的随机数的产生为设计者使用AT32芯片时,产生符合应用需求的随机数,提供设计建议。
    发表于 10-26 06:04

    STM8有随机数发生器吗?

    怎么才能用STM8产生一个随机数
    发表于 10-23 06:55

    如何使用雪花算法生成真正的随机数

    以前用rand和srand生成过伪随机数,伪随机数的序列是固定的,今天学习生成真正的随机数生成
    的头像 发表于 10-09 10:05 747次阅读

    FPGA的伪随机数发生器学习介绍

    随机试验的结果,产生随机数有多种不同的方法。这些方法被称为随机数生成器。随机数最重要的特性是它在产生时后面的那个数与前面的那个数毫无关系。
    的头像 发表于 09-12 09:13 779次阅读

    STM32L4随机数生成器模块(RNG)介绍

    基于噪声源的32位真随机数生成器。 •使用线性反馈移位寄存器进行后处理。 •由专用时钟(PLL48CLK)计时 •两个连续随机数之间的PLL48CLK时钟信号的40个周期 •可禁用以降低功耗 •5个
    发表于 09-11 07:29

    Arm真随机数发生器(TRNG)表征应用说明

    本章概述ARM®真随机数生成器(TRNG)及其特性。 ARM®真随机数生成器(TRNG)从物理熵源收集熵,物理熵源是能够生成不可预测或
    发表于 08-29 07:19

    i.MX8QM真随机数生成器符合什么要求?

    大家好, 我们的客户要求我们指定一个真正的随机数生成器。 i.MX8QM 真随机数生成器符合什么要求? 以下是对 SE050 中的随机数
    发表于 05-18 06:13

    【野火 fireFlasher Mini 脱机烧录器】随机数测试

    随机数测试 野火mini脱机烧录器支持在指定地址添加指定个数的随机数,最多支持4组,每组4096个随机数。本篇来测试一下随机数的功能。 小试牛刀 先简单测试一下,在地址0x080040
    发表于 05-12 21:42

    【野火 fireFlasher Mini 脱机烧录器】3. 随机数功能测试以及遇到的问题

    fireFlasher Mini 脱机烧录器】1. 上手实测。 在烧录固件到单片机的过程中,会比单独烧录LED.axf慢一些,我猜测是因为随机数是在烧录固件到单片机的时候才生成的,所以会比较慢一点,后边实测也验证了
    发表于 05-07 22:05

    S32K312如何获取随机数

    S32K312如何获取随机数
    发表于 05-06 07:46