0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

浅谈数字后端工程师的工作

我快闭嘴 来源:半导体行业观察 作者:半导体行业观察 2021-02-26 16:06 次阅读

分享数字后端设计是什么?

数字后端,顾名思义,它处于数字IC设计流程的后端,属于数字IC设计类岗位的一种。 在IC设计中,数字后端所占的人数比重一直是最多的,而且随着芯片规模不断加大,后端工程师需要的人数将会越来越多。

一般来说,数字后端按岗位类别可以分为: 逻辑综合,布局布线physical design,静态时序分析(STA),功耗分析Power analysis,物理验证physical verification等岗位 。人才的需求量进一步加大,这也是现阶段数字后端工程师招聘量巨大的原因。

数字后端设计和数字前端设计的区别?

数字芯片设计流程

相对于数字前端设计 ( 数字IC设计=数字前端设计? )来说,后端设计分为5个步骤,要做的事情是很多的,因此招人也非常多,在一些 大公司里,5个步骤每个都是有专人负责的。后端设计工程师需要掌握的东西会比较多,但是不要求每一项都很精通,是一个非常不错的选择~

后端和前端一样,也是一个很早就出现的非常重要的岗位。 虽然由于工作性质,它对代码编写的要求相对来说没前端那么高,但是各种EDA工具的使用、掌握多门脚本语言、分析报告、修错误都是其核心技能。如果对编程不感兴趣又想从事数字IC设计工作,那么选择数字后端是非常合适的。

因此,数字后端和前端相比,并不存在谁好谁坏的问题,两个岗位的薪资待遇和发展空间也是大致相同的。 求职的时候,建议大家更多地根据自己的技能基础和个人意愿去选择就好。

数字后端设计工程师要做什么?

①逻辑综合(Synthesis)

主要负责将RTL code转换为实际后端使用的netlist网表, 一个好的网表对布局布线的工作起到决定性作用。要尽可能做到performance, power, area的优化。尤其是现如今的一些要求高性能的设计,对综合的要求非常高。

综合质量很大一定程度上取决于综合软件的性能,业界流行的两个综合工具是Synopsys的Design Compiler和Cadence的Genus,熟练的掌握两个工具的使用方法是综合工作的一个基本条件。

②布局布线(PD)

布局布线是数字后端中占比最大的工作,主要负责netlist到GDSII的转化过程,步骤包括Floorplan,Place,CTS,Optimize,Route,ECO等,确保自己负责的模块满足时序还有物理制造的要求。同时,需要协同其他工程师,及时提供他们需要的文件,比如def, spef,网表等。是数字后端中最核心的工作。

布局布线对工具的依赖程度较强,而且工具操作相对来说较为复杂。业界较为常用的是cadence的Innovus软件和Synopsys的ICC,掌握这两大工具的使用需要花费一定的时间。

③静态时序分析(STA)

静态时序分析简称为STA,时序验证分析是数字后端中的重要一块内容,芯片需要满足各种corner下面的setup,hold时序要求以及其他的transition, capacitance, noise等要求。STA需要制定整个芯片的sdc约束文件,选择芯片需要signoff的corner以及全芯片的timing eco流程。是一份难度要求很高的工作。

静态时序分析通常通常需要掌握Synopsys的primetime以及cadence的tempus两大软件的使用方法。

④物理验证(PV)

物理验证也是tape out前的一项重要事项。如果物理验证有错,那芯片生产就会失败。在布局布线工具中,软件只能检查到金属层上的物理违反,而真正的物理验证需要检查到器件底层(base layer)。因此,物理验证需要将金属层和底层金属合并到一起,进行全芯片的drc检查。同时,还需要做全芯片的LVS(版图与原理图一致性检查),ERC(电气规则检查)。确保芯片没有任何物理设计规则违反。

物理验证一般在mentor公司的calibre中进行,是业界标准的物理验证工具。

⑤功耗分析(PA)

功耗分析也是芯片signoff的重要一大块,随着现在芯片的规模越来越大,功耗在芯片的中的地位也越来越高。功耗分析的两大任务是分析IR drop(电压降)和EM(电迁移)。及时将结果反馈给布局布线任务组,让他们及时修改后端设计图,解决设计中潜在的问题。

一般功耗分析使用的工具有Ansys公司的redhawk,以及cadence公司的voltus和synopsys公司的ptpx。

而且,数字后端工程师通常都是以一个项目组作为一个团队,前面说的这些任务都会分为不同的角色。 通常,一个项目中会有一名顶层工程师,一名STA工程师,一名功耗分析工程师,一名物理验证工程师以及若干名模块工程师,这些工程师需要相互合作,共同完成全芯片的RTL到GDSII的过程,同时确保没有时序以及物理验证上的违例。

数字后端设计工程师需要掌握的技能

数字后端主要以软件工具为主,需主要掌握以下软件(以cadence, synopsys,mentor公司为主)

布局布线:Innovus/Encounter, ICC2/ICC

综合:DC, Genus

物理验证:Calibre

静态时序分析:PrimeTime, Tempus

功耗分析:Redhawk, Voltus,PTPX

每种平台需要你掌握的技能不大一样,通常每种平台下学会一种工具即可。一个初级工程师想全部掌握这些技能也很难,如果这些工具你都会使用,就变成老司机了。

由于数字后端工程师需要跑一些自动化的任务,所以掌握必要的脚本语言也是必须的,比如掌握下面知识就显得比较重要:

Verilog

TCL

Perl

Python

所有的技术类岗位,主要看的两点就是: 专业技能(skills)和项目经验(experience) 。 所以除了上面列出的这些技能,你能实际做过一两个项目,哪怕是一些小模块的后端设计,也是很重要的,尤其是做项目过程中积攒的debug经验。

数字后端设计工程师的薪资待遇和职业发展

这是最新的2021届后端设计秋招应届生的薪资情况 ,一线城市平均薪资大概在25万左右,二三线城市稍微低一些。

另外一个好消息是,国内现在在大力发展集成电路,所以最近几年的薪资都在不断上涨,5年之内应该都还会保持这个趋势。

数字IC设计工程师(前端&后端)职业发展路径

关于数字后端设计工程师的职业发展 ,未来的IC设计工程师的职业发展分为两条路径:一是技术专家路线,基本按照上图的发展阶梯来走,这些人会一直做技术,成为一名资深设计工程师;二是项目管理路线,有些人会成为设计经理,做一些管理类的工作。

设计工程师干了很多年之后,有少数人也可以转岗,比如转去做架构工程师,去EDA公司做应用工程师(AE),要么就索性创业。但是总的来说,绝大多数设计工程师还是会一直做下去,毕竟这个岗位还算一个天花板不低的岗位。

数字后端设计工程师入行门槛和条件

现阶段,数字后端工程师主要还是以招聘研究生和本科生为主,不过好消息是对专业的要求并不是很苛刻,并非集成电路方向不可,只要你掌握了上面的这些技能,哪怕是不相关专业,比如材料、物理、自动化、机械等理工科专业,也是可以成功应聘数字后端设计工程师的。

学历本科也不要气馁,有工作经验的本科生,还是可以找到数字后端工程师的职位的。毕业学校一般的同学也不要气馁,985高校毕业,在求职时肯定是有优势的,但毕竟每年毕业生不多,在现在IC行业整体缺人的大背景下,企业依然会招收学校排名一般的学生,当然前提还是一样,有 专业技能(skills)和项目经验(experience)。

找工作,选择比能力更重要。 如果一开始选对了行业和岗位,就会比较容易取得成功。 现在的IC设计行业,有“钱途”也有前途,薪资高自不必说,如果进对了公司拿到了股权,也可以轻松实现财务自由,可以说是理工科生的黄金岗位之一。
责任编辑:tzh

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 芯片
    +关注

    关注

    447

    文章

    47821

    浏览量

    409198
  • IC
    IC
    +关注

    关注

    35

    文章

    5545

    浏览量

    173228
  • eda
    eda
    +关注

    关注

    71

    文章

    2540

    浏览量

    170883
  • 数字前端
    +关注

    关注

    0

    文章

    7

    浏览量

    7765
  • 数字后端
    +关注

    关注

    0

    文章

    10

    浏览量

    3023
收藏 人收藏

    评论

    相关推荐

    数字后端全流程EDA企业日观芯设完成数千万元Pre-A轮融资

    近日,国内数字后端全流程EDA企业日观芯设宣布完成数千万元的Pre-A轮融资。本轮融资由蓝驰创投领投,源码资本跟投,本轮融资资金将用于市场推广以及产品升级迭代的研发。
    的头像 发表于 04-07 16:23 408次阅读

    模拟前端和数字后端哪个好 模拟前端和数字后端的区别

    模拟前端和数字后端都是电子系统设计中的重要环节,它们各自扮演着不可或缺的角色,难以简单地进行优劣比较。
    的头像 发表于 03-16 15:09 310次阅读

    企业老工程师和高校老师有啥区别

    电子工程师硬件
    电子发烧友网官方
    发布于 :2024年02月28日 17:50:00

    如何搞崩一个硬件工程师心态?试试对ta说这几句

    硬件工程师
    扬兴科技
    发布于 :2024年02月20日 18:05:49

    基于超表面天线阵列的射频前端与数字后端联合抗干扰方案

    本文提出一种基于超表面天线阵列的射频前端与数字后端联合抗干扰方案,利用超表面天线快速可重构能力,对同一信号切换不同方向图接收,令单通道等效为多通道,提高阵列自由度。
    发表于 02-20 11:01 123次阅读
    基于超表面天线阵列的射频前端与<b class='flag-5'>数字后端</b>联合抗干扰方案

    #人工智能 #FPGA 怎么成为一个合格的FPGA工程师

    fpga工程师
    明德扬助教小易老师
    发布于 :2023年12月18日 21:19:01

    电子工程师第一天上班就暴揍师傅。。。#科普 #示波器 #电子工程师 #打工日记

    电子工程师示波器
    安泰小课堂
    发布于 :2023年12月18日 17:36:12

    FPGA工程师需要具备哪些技能?

    ,需要具备一系列的技能,才能胜任日益复杂的设计工作。因此,本文将从设计思路、硬件语言、EDA工具、数字信号处理、通信协议、测试验证等多个方面,探讨FPGA工程师需要具备哪些技能。 一
    发表于 11-09 11:03

    招聘数字后端工程师

    招聘数字后端工程师,北京、天津、西安、苏州、成都、无锡
    发表于 10-26 15:11

    数字后端基本概念介绍—FinFET Grid

    今天要介绍的数字后端基本概念是FinFET Grid,它也是一种设计格点。介绍该格点前,我们首先来了解一下什么是FinFET技术。
    发表于 07-12 17:31 796次阅读
    <b class='flag-5'>数字后端</b>基本概念介绍—FinFET Grid

    热门推荐:硬件工程师必备工具

    硬件开发的工作流程一般可分为:原理图设计、PCB Layout设计、采购电子BOM、PCB板生产、PCBA组装、功能调试及测试、小批量试产、大批量生产正式投放市场等步骤。 作为一名优秀的硬件工程师
    发表于 06-21 10:15

    电子工程师必看书籍推荐#知识分享 #知识科普 #交流#硬声创作季

    电子工程师
    也许吧
    发布于 :2023年05月24日 10:47:34