0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

7系列FPGA DSP48E1的参数特点概述

电子设计 2022-06-21 08:55 次阅读

7系列FPGADSP48E1片的特点是

•具有D寄存器的25位预加器,以增强A路径的能力

•INMODE控件支持在乘法(A*B)和加法操作(A:B)之间动态切换的平衡流水

•25*18乘法

•30位的输入,其下25位为乘法器的A输入,整个30位的输入形成48位A:B的上30位串联内部总线。

•级联A和B输入

•直接路径和级联路径之间的半独立可选pipelining

•独立的时钟支持两层的A和B输入寄存器

•独立的C输入和C寄存器,具有独立的复位和时钟启用。

•CARRYCASCIN和CARRYCASCOUT内部级联信号支持两个DSP48E1片中的96位累加器/加法器/减法器

•MULTSIGNIN和MULTSIGNOUT内部级联信号与特殊的OPMODE设置,以支持一个96位的MACC扩展

•单指令多数据(SIMD)模式的三输入加法器/减法器,在第一阶段排除了乘数的使用

•双24位SIMD加法器/减法器/累加器,具有两个独立的结转信号

•四路12位SIMD加法器/减法器/累加器,有四个独立的输出信号

•48位逻辑单元

•位逻辑操作-双输入AND,OR,NOT,NAND,NOR,XOR,XNOR

•逻辑单元模式可通过ALUMODE动态选择

•模式检测

•溢出/下溢的支持

•收敛舍入支持

•终端计数检测支持和自动复位

•级联48位P总线支持内部低功耗加法器级联

•48位P总线允许12位/4位或24位/双SIMD加法器级联支持

•可选17位右移,使更广泛的乘数实现

•动态用户控制的操作模式

•7位OPMODE控制总线提供X、Y和Z多路选择信号

•Carryin第二阶段加法器

•支持舍入

•支持更广泛的增加/减少

•3位CARRYINSEL多路复用器

•Carryout第二阶段加法器

•支持更广泛的增加/减少

•每个SIMD加法器可用(最多4个)

•级联CARRYCASCOUT和MULTSIGNOUT允许MACC扩展到96位

•可选的输入、流水线和输出/累加寄存器

可选控制信号寄存器(OPMODE、ALUMODE和CARRYINSEL)

•独立的时钟启用和重置更大的灵活性,重置具有优先级。

•为了在第一阶段的乘法器不使用时节省电力,USE_MULT属性允许客户关闭内部乘法器逻辑。

每个DSP48E1片都有一个双输入乘法器和一个三输入加法器/减法器/累加器。DSP48E1乘法器具有非对称的输入,接受18位2的补数操作数和25位2的补数操作数。乘法器阶段以两个部分乘积的形式产生一个43位2的补码结果。这些部分积在X多路复用器和Y多路复用器中被符号扩展到48位,并被输入到三输入加法器中进行最终求和。这将产生一个43位的乘法输出,它已经被签名扩展到48位。因此,当乘法器被使用时,加法器有效地变成一个双输入加法器。

第二阶段加法器/减法器接受三个48位的补数操作数,并产生一个48位的补数操作数,当乘法器通过设置USE_MULT属性为NONE并使用适当的OPMODE设置来绕过该乘法器时,得到2的补数结果。在SIMD模式下,48位加法器/减法器也支持双24位或双12位SIMD算术运算。在这种配置中,动态ALUMODE控制信号也支持对两个48位二进制数的按位逻辑操作。

在DSP48E1列中,级联各个DSP48E1片可以支持更高级的DSP功能。两个数据路径(ACOUT和BCOUT)和DSP48E1片输出(PCOUT、MULTSIGNOUT和CARRYCASCOUT)提供级联功能。级联数据路径的能力在过滤器设计中很有用。例如,有限脉冲响应(FIR)滤波器的设计可以使用级联输入来安排一系列的输入数据样本,使用级联输出来安排一系列的部分输出结果。级联的能力提供了高性能和低功耗的DSP滤波器功能的实现,因为一般的路由在fabric中没有使用。

C输入端口允许形成许多3-输入数学函数,如3-输入加法或2-输入加法乘法。这个函数的一个子集是对乘法趋近于零或无穷大的对称舍入的宝贵支持。C输入和模式检测器也支持收敛舍入。

对于多精度算法,DSP48E1片提供了17的右移。因此,一个DSP48E1切片的部分积可以右对齐并添加到相邻DSP48E1切片中计算的下一个部分积。使用这种技术,DSP48E1片可以用来构建更大的乘数。

编程的输入操作数、中间结果和累加器输出流水线提高了吞吐量。48位的内部总线(PCOUT/PCIN)允许将DSP片聚合到单个列中。当跨越多个列时,需要使用Fabric逻辑。

DSP48E1片输出处的Thepatterndetector模式检测器支持收敛舍入、溢出/下溢、块浮点和累加器终端计数(计数器自动复位)。模式检测器可以检测DSP48E1片的输出是否符合模式(由掩码限定)。

DSP48E1Tile和互连

两个DSP48E1片和专用互连形成一个DSP48E1Tile(参见图2-3)。DSP48E1Tile垂直地堆叠在DSP48E1列中。DSP48E1Tile的高度与五个可配置逻辑块(CLBs)相同,并且与一个块RAM的高度匹配。7系列设备中的块RAM可分为两个18K块RAM。每个DSP48E1片与一个18K块RAM水平对齐。7系列设备最多有20个DSP48E1列。

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • FPGA
    +关注

    关注

    1600

    文章

    21286

    浏览量

    592887
  • DSP48E1
    +关注

    关注

    0

    文章

    5

    浏览量

    574
收藏 人收藏

    评论

    相关推荐

    Xilinx fpga芯片系列有哪些

    Xilinx FPGA芯片拥有多个系列和型号,以满足不同应用领域的需求。以下是一些主要的Xilinx FPGA芯片系列及其特点
    的头像 发表于 03-14 16:24 667次阅读

    Versal FPGA中的浮点计算单元DSPFP32介绍

    Versal FPGA中最新的DSP原语DSP58,它在最新的DSP48版本上已经有了许多改进,主要是从27x18有符号乘法器和48位后加法
    的头像 发表于 02-22 09:22 871次阅读
    Versal <b class='flag-5'>FPGA</b>中的浮点计算单元DSPFP32介绍

    基于DSPFPGA的通用控制器设计

    电子发烧友网站提供《基于DSPFPGA的通用控制器设计.pdf》资料免费下载
    发表于 10-25 10:57 0次下载
    基于<b class='flag-5'>DSP</b>和<b class='flag-5'>FPGA</b>的通用控制器设计

    fpgadsp通讯怎样同步时钟频率?dspfpga通信如何测试?

    fpgadsp通讯怎样同步时钟频率?dspfpga通信如何测试? 在FPGADSP通讯时,
    的头像 发表于 10-18 15:28 1175次阅读

    FPGA进行基本运算和特殊函数定点运算

    不友好. 二、FPGA中的加减乘除 1.硬件资源 Xilinx 7系列FPGA中有DSP Slice ,叫做“DSP48E1”这一专用硬件
    的头像 发表于 09-05 11:45 298次阅读

    fpga内部主要结构及其功能分析(Kintex-7FPGA内部结构)

    Kintex-7 FPGA的内部结构相比传统FPGA的内部结构嵌入了DSP48E1,PCIE,GTX,XADC,高速IO口等单元,大大提升了FPGA的性能。
    发表于 08-24 09:26 1558次阅读
    <b class='flag-5'>fpga</b>内部主要结构及其功能分析(Kintex-7<b class='flag-5'>FPGA</b>内部结构)

    利用FPGA进行基本运算及特殊函数定点运算

    的硬件结构和开发特性使得其对很多算法不友好,之前本人零散地总结和转载了些基本的数学运算在FPGA中的实现方式,今天做一个系统的总结归纳。 二、FPGA中的加减乘除 1.硬件资源 Xilinx 7系列
    的头像 发表于 07-19 14:25 1007次阅读
    利用<b class='flag-5'>FPGA</b>进行基本运算及特殊函数定点运算

    Xilinx大神都懂的数字运算单元—DSP48E1

    充分利用DSP资源,我们需要对DSP48E1有所了解。 1.DSP48E1介绍 DSP48E17系列
    发表于 06-20 14:29

    请问DSPFPGA的时钟信号如何产生?

    我做的一个基于DSP的系统中,DSP做主处理器,控制着整个系统,包括信号处理,整体调度等;选择了一块Xilinx的FPGA做FIFO UART和系统的逻辑控制和译码。DSP的时钟输入为
    发表于 06-19 06:43

    ZYNQ(FPGA)与DSP之间GPIO通信实现

    2 俯视图正面 DSP处理器型号TI TMS320C6657,双C66x DSP核,主频1GHz/1.25GHz ZynqXilinx XC7Z035/XC
    发表于 06-16 16:02

    FIR滤波器代码及仿真设计

    上文 FPGA数字信号处理之滤波器2_使用dsp48e1的fir滤波器设计完成了结构设计。
    的头像 发表于 06-02 12:36 779次阅读
    FIR滤波器代码及仿真设计

    基于DSP48E1的FIR滤波器设计

    在数字信号处理中为了保证时延稳定性以及节省乘法器,通常使用对称系数的滤波器。
    的头像 发表于 06-02 12:35 797次阅读
    基于<b class='flag-5'>DSP48E1</b>的FIR滤波器设计

    一文教你如何区分FPGADSP特点及用途

    两者的不同。 1FPGADSP特点 FPAG的结构特点 片内有大量的逻辑门和触发器,多为查找表结构,实现工艺多为SRAM。规模大,集成
    发表于 06-01 11:03

    48芯单模光缆型号参数-科兰

    48芯单模光缆是内置有48根光纤的室外通讯线缆。拥有多种结构型号以及两种传输模式,是利用比较广泛的通讯光缆,主要应用在长途通讯和局间通讯的传输。下面就跟着科兰小编一起了解一下48芯单模光缆型号
    的头像 发表于 05-15 11:39 1244次阅读
    <b class='flag-5'>48</b>芯单模光缆型号<b class='flag-5'>参数</b>-科兰

    FPGA/DSP/ARM选型手册

    广州星嵌DSP/ARM/FPGA 选型手册2023
    发表于 05-05 10:24 15次下载