0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

光刻机的工作原理以及关键技术

454398 来源:alpha007 作者:alpha007 2022-12-23 13:34 次阅读

导读:光刻是集成电路最重要的加工工艺,他的作用,如同金工车间中车床的作用。光刻是制造芯片的最关键技术,在整个芯片制造工艺中,几乎每个工艺的实施,都离不开光刻的技术。

光刻机工作原理

利用光刻机发出的光通过具有图形的光罩对涂有光刻胶的薄片曝光,光刻胶见光后会发生性质变化,从而使光罩上得图形复印到薄片上,从而使薄片具有电子线路图的作用。这就是光刻的作用,类似照相机照相。照相机拍摄的照片是印在底片上,而光刻刻的不是照片,而是电路图和其他电子元件。

简单点来说,光刻机就是放大的单反,光刻机就是将光罩上的设计好集成电路图形通过光线的曝光印到光感材料上,形成图形。

镜头:

镜头是光刻机最核心的部分,采用的不是一般的镜头,可以达到高 2 米直径 1 米,甚至更大。光刻机的整个曝光光学系统,由数十块锅底大的镜片串联组成,其光学零件精度控制在几个纳米以内,目前光刻机镜头最强大的是老牌光学仪器公司德国蔡司,ASML 用的就是他家的镜头。

光源:

光源是光刻机核心之一,光刻机的工艺能力首先取决于其光源的波长。下表是各类光刻机光源的具体参数

最早光刻机的光源是采用汞灯产生的紫外光源(UV:UltravioletLight),从 g-line 一直发展到 i-line,波长缩小到 365nm,实际对应的分辨率大约在 200nm 以上。

随后,业界采用了准分子激光的深紫外光源(DUV:DeepUltravioletLight)。将波长进一步缩小到 ArF 的 193nm。不过原本接下来打算采用的 157nm 的 F2 准分子激光上遇到了一系列技术障碍以后,ArF 加浸入技术(ImmersionTechnology)成为了主流。

所谓浸入技术,就是让镜头和硅片之间的空间浸泡于液体之中。由于液体的折射率大于 1,使得激光的实际波长会大幅度缩小。目前主流采用的纯净水的折射率为 1.44,所以 ArF 加浸入技术实际等效的波长为 193nm/1.44=134nm。从而实现更高的分辨率。F2 准分子激光之所以没有得以发展的一个重大原因是,157nm 波长的光线不能穿透纯净水,无法和浸入技术结合。所以,准分子激光光源只发展到了 ArF。

这之后,业界开始采用极紫外光源(EUV:ExtremeUltravioletLight)来进一步提供更短波长的光源。目前主要采用的办法是将准分子激光照射在锡等靶材上,激发出 13.5nm 的光子,作为光刻机光源。目前,各大 Foundry 厂在 7nm 以下的最高端工艺上都会采用 EUV 光刻机,其中三星在 7nm 节点上就已经采用了。而目前只有荷兰 ASML 一家能够提供可供量产用的 EUV 光刻机。

分辨率:

光刻机的分辨率(Resolution)表示光刻机能清晰投影最小图像的能力,是光刻机最重要的技术指标之一,决定了光刻机能够被应用于的工艺节点水平。但必须注意的是,虽然分辨率和光源波长有着密切关系,但两者并非是完全对应。

所以我们在研究和了解光刻机性能的时候,一定要确认该值。在光源波长不变的情况下,NA 的大小直接决定和光刻机的实际分辨率,也等于决定了光刻机能够达到的最高的工艺节点。

关于这个参数的具体含义和详细解释,有兴趣的朋友可以参考维基百科。

套刻精度:

套刻精度(OverlayAccuracy)的基本含义时指前后两道光刻工序之间彼此图形的对准精度(3σ),如果对准的偏差过大,就会直接影响产品的良率。对于高阶的光刻机,一般设备供应商就套刻精度会提供两个数值,一种是单机自身的两次套刻误差,另一种是两台设备(不同设备)间的套刻误差。

套刻精度其实是光刻机的另一个非常重要的技术指标,不过有时非专业人士在研究学习光刻机性能时会容易忽略。我们在后面的各大供应商产品详细列表里,特意加上了这个指标。

工艺节点:

工艺节点(nodes)是反映集成电路技术工艺水平最直接的参数。目前主流的节点为 0.35um、0.25um、0.18um、90nm、65nm、40nm、28nm、20nm、16/14nm、10nm、7nm 等。传统上(在 28nm 节点以前),节点的数值一般指 MOS 管栅极的最小长度(gatelength),也有用第二层金属层(M2)走线的最小间距(pitch)作为节点指标的。

节点的尺寸数值基本上和晶体管的长宽成正比关系,每一个节点基本上是前一个节点的 0.7 倍。这样以来,由于 0.7X0.7=0.49,所以每一代工艺节点上晶体管的面积都比上一代小大约一半,也就是说单位面积上的晶体管数量翻了一番。这也是著名的摩尔定律(Moore'sLaw)的基础所在。一般而言,大约 18~24 个月,工艺节点就会发展一代。

但是到了 28nm 之后的工艺,节点的数值变得有些混乱。一些 Foundry 厂可能是出于商业宣传的考量,故意用一些图形的特征尺寸(FeatureSize)来表示工艺节点,他们往往用最致密周期图形的半间距长度来作为工艺节点的数值。这样一来,虽然工艺节点的发展依然是按照 0.7 倍的规律前进,但实际上晶体管的面积以及电性能的提升则远远落后于节点数值变化。更为麻烦的是,不同 Foundry 的工艺节点换算方法不一,这便导致了很多理解上的混乱。根据英特尔的数据,他们 20nm 工艺的实际性能就已经相当于三星的 14nm 和台积电的 16nm 工艺了。

在 65nm 工艺及以前,工艺节点的数值几乎和光刻机的最高分辨率是一致的。由于镜头 NA 的指标没有太大的变化,所以工艺节点的水平主要由光源的波长所决定。ArF193nm 的波长可以实现的最高工艺节点就是 65nm。

而到了 65nm 以后,由于光源波长难于进一步突破,业界采用了浸入式技术,将等效的光源波长缩小到了 134nm。不仅如此,在液体中镜头的 NA 参数也有了较大的突破。根据 ASML 产品数据信息,采用浸入技术之后,NA 值由 0.50–0.93 发展到了 0.85–1.35,从而进一步提高了分辨率。同时,在相移掩模(Phase-ShiftMask)和 OPC(OpticalProximityCorrection)等技术的协同助力之下,在光刻设备的光源不变的条件下,业界将工艺节点一直推进到了 28nm。

而到了 28nm 以后,由于单次曝光的图形间距已经无法进一步提升,所以业界开始广泛采用 MultiplePatterning 的技术来提高图形密度,也就是利用多次曝光和刻蚀的办法来产生更致密图形。

值得特别注意的是,MultiplePatterning 技术的引入导致了掩模(Mask)和生产工序的增加,直接导致了成本的剧烈上升,同时给良率管理也带来一定的麻烦。同时由于前述的原因,节点的提升并没有带来芯片性能成比例的增加,所以目前只有那些对芯片性能和功耗有着极端要求的产品才会采用这些高阶工艺节点技术。于是,28nm 便成为了工艺节点的一个重要的分水岭,它和下一代工艺之间在性价比上有着巨大的差别。大量不需要特别高性能,而对成本敏感的产品(比如 IOT 领域的芯片)会长期对 28nm 工艺有着需求。所以 28nm 节点会成为一个所谓的长节点,在未来比较长的一段时间里都会被广泛应用,其淘汰的时间也会远远慢于其它工艺节点。

根据业界的实际情况,英特尔和台积电一直到 7nm 工艺节点都依然使用浸入式 ArF 的光刻设备。但是对于下一代的工艺,则必须采用 EUV 光源的设备了。目前全球只有 ASML 一家能够提供波长为 13.5nm 的 EUV 光刻设备。毫无疑问,未来 5nm 和 3nm 的工艺,必然是 EUV 一家的天下。事实上,三星在 7nm 节点上便已经采用了 EUV 光刻设备,而中芯国际最近也订购了一台 EUV 用于 7nm 工艺的研发。

在售的部分光刻机的列表及相关参数

目前光刻设备按照曝光方式分为 Stepper 和 Scanner 两种。Stepper 是传统地一次性将整个区域进行曝光;而 Scanner 是镜头沿 Y 方向的一个细长空间曝光,硅片和掩模同时沿 X 方向移动经过曝光区动态完成整个区域的曝光。和 Stepper 相比,Scanner 不仅图像畸变小、一致性高,而且曝光速度也更快。所以目前主流光刻机都是 Scanner,只有部分老式设备依旧是 Stepper。上表中如果没有特别注明,都是属于 Scanner 类型。

审核编辑 黄昊宇

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 光刻机
    +关注

    关注

    31

    文章

    1121

    浏览量

    46407
收藏 人收藏

    评论

    相关推荐

    光刻机的常见类型解析

    光刻机有很多种类型,但有时也很难用类型进行分类来区别设备,因为有些分类仅是在某一分类下的分类。
    发表于 04-10 15:02 252次阅读
    <b class='flag-5'>光刻机</b>的常见类型解析

    光刻机的发展历程及工艺流程

    光刻机经历了5代产品发展,每次改进和创新都显著提升了光刻机所能实现的最小工艺节点。按照使用光源依次从g-line、i-line发展到KrF、ArF和EUV;按照工作原理依次从接触接近式光刻机
    发表于 03-21 11:31 756次阅读
    <b class='flag-5'>光刻机</b>的发展历程及工艺流程

    光刻机巨头ASML要搬离荷兰?

    据荷兰《电讯报》3月6日报道,因荷兰政府的反移民政策倾向,光刻机巨头阿斯麦(ASML)正计划搬离荷兰。
    的头像 发表于 03-08 14:02 549次阅读

    浅谈不同阶段光刻机工作方式

    在曝光过程中,掩模版与涂覆有光刻胶的硅片直接接触。接触式光刻机的缩放比为1:1,分辨率可达到4-5微米。由于掩模和光刻胶膜层反复接触和分离,随着曝光次数的增加,会引起掩模版和光刻胶膜层
    发表于 03-08 10:42 220次阅读
    浅谈不同阶段<b class='flag-5'>光刻机工作</b>方式

    ASML光刻机技术的领航者,挑战与机遇并存

    ASML在半导体产业中扮演着举足轻重的角色,其光刻机技术和市场地位对于全球半导体制造厂商来说都具有重要意义。
    发表于 03-05 11:26 213次阅读

    光刻胶和光刻机的区别

    光刻胶是一种涂覆在半导体器件表面的特殊液体材料,可以通过光刻机上的模板或掩模来进行曝光。
    的头像 发表于 03-04 17:19 755次阅读

    光刻机结构及IC制造工艺工作原理

    光刻机是微电子制造的关键设备,广泛应用于集成电路、平面显示器、LED、MEMS等领域。在集成电路制造中,光刻机被用于制造芯片上的电路图案。
    发表于 01-29 09:37 662次阅读
    <b class='flag-5'>光刻机</b>结构及IC制造工艺<b class='flag-5'>工作原理</b>

    狂加工一年!ASML把欠中国的600亿光刻机,成功交付了

    一系列环节如制造、封装、测试等。   在这其中,制造过程显得尤为关键,而高端光刻机则是制造过程中不可或缺的设备。 光刻机在微电子制造过程中扮演核心角色,其要求具备高度精密的光学系统、复杂的机械结构和先进的控制
    的头像 发表于 01-17 17:56 358次阅读

    英特尔抢下6种ASML HIGH NA光刻机

    如果我们假设光刻机成本为 3.5 亿至 4 亿美元,并且 2024 年 10 个光刻机的HIGH NA 销售额将在 35亿至40亿美元之间。
    的头像 发表于 12-28 11:31 466次阅读

    全面解析***结构及工作原理

    光刻光刻机 ➢对准和曝光在光刻机(Lithography Tool)内进行。 ➢其它工艺在涂胶显影机(Track)上进行。 光刻机结构及
    发表于 12-19 09:28 301次阅读
    全面解析***结构及<b class='flag-5'>工作原理</b>

    详解***结构及工作原理

    到硅片上。光刻机主要由光源、凸透镜、光刻胶和控制系统等组成,其中光源发出紫外线或可见光,凸透镜将光线聚焦到光刻胶上,而控制系统则控制光刻胶的曝光时间和光线的强度等参数。
    的头像 发表于 12-18 08:42 396次阅读
    详解***结构及<b class='flag-5'>工作原理</b>

    GPIO的基本概念、工作原理关键技术

    等的数据采集和控制。本文将介绍GPIO的基本概念、工作原理以及一些关键技术,帮助读者更好地理解GPIO的性能和应用。
    的头像 发表于 09-26 17:21 6172次阅读

    视觉导航关键技术及应用

    由于视觉导航技术的应用越来越普及 ,因此 ,有必要对视觉导航中的关键技术及应用进行研究。文章对其中的图像处理技术和定位与跟踪技术进行了详细研究 ,并与此相对应 ,介绍的相关的应用。
    发表于 09-25 08:09

    面向OpenHarmony终端的密码安全关键技术

    本文转载自 OpenHarmony TSC 官方​[​《峰会回顾第17期 | 面向OpenHarmony终端的密码安全关键技术》​]​ 演讲嘉宾 | 何道敬 回顾整理 | 廖涛 排版校对 | 李萍萍
    发表于 09-13 19:20

    一文讲透光刻胶及芯片制造关键技术

    在集成电路制造领域,如果说光刻机是推动制程技术进步的“引擎”,光刻胶就是这部“引擎”的“燃料”。
    发表于 05-13 11:28 1253次阅读
    一文讲透<b class='flag-5'>光刻</b>胶及芯片制造<b class='flag-5'>关键技术</b>