0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

通过实例设计来加深Verilog描述语法理解

454398 来源:Xilinx学术合作 作者:小鱼 2021-01-02 09:45 次阅读

作者:小鱼,Xilinx学术合作

一.概述

在文章《Verilog HDL入门思路梳理》我们说过应该如何去学习Verilog HDL描述。然而第一步,我们需要知道Verilog有哪些语法,它是否可以综合,可以用这个语法来描述什么逻辑功能电路呢?

其实Xilinx已经贴心地准备好了答案,还给你准备了一堆参考实例。

二. Verilog行为级描述
资料名称“Vivado Design Suite User Guide - Synthesis - UG901 (v2018.2) June 6, 2018”。(注:大家可以在Xilinx官网,或者安装DocNav(Xilinx Documentation Navigator) 并在这里面找到这份资料。)

资料的第7章《Verilog Language Support》就是介绍在Vivado里,可综合的Verilog描述语法以及描述方式。重点就是Verilog行为级描述(Behavioral Verilog)。

在了解了Vivado支持的可综合的Verilog描述语法之后,我们就可以通过实例设计来加深理解。从哪里可以找到这些实例设计呢?在Vivado里面就有,下面告诉你们如何找到它们。

1.打开Vivado工具,先新建一个工程。然后进入下页面。

pIYBAF9uHp-AEi6kAAP19mDW48k676.png

2. 在界面最上面一行菜单栏找到 “Tools”,点击 Tools-> Language Templates

o4YBAF9uHqSAfstbAART5iHj0Qs162.png

3. 将下图中的Verilog栏展开,在Synthesis Constructs栏就是我们可综合的常见Verilog描述。可以看到 Always ,Conditional里的case,条件选择赋值语句,if-else等常见的语法模型他都列出来了。在Coding Example里面有一些基础的电路模块的Verilog描述示例。

pIYBAF9uHqmAY3eoAATahmrhtnE522.png

4. 展开Coding Example,可看到基础的电路,如累加器,基础算术电路,逻辑门,输入输出端口比较器,计数器,解码器,常见的数字信号处理电路,译码器,寄存器(flip flops),逻辑移位器,Misc(有七段式数码管驱动,同步电路,防抖电路, 开漏输出端口,脉冲调制电路),多路选择器,RAMROM,移位寄存器,状态机,三态缓冲器等等的Verilog描述示例。

pIYBAF9uHq2AVZj8AANlcYlZW_Y087.png

5.在Example Module里面有更多的设计示例,如一些算法电路的设计。有了一些基础之后,就可以把这里的设计示例都看看。

o4YBAF9uHrCAGjDPAAIw7ece2CU485.png

对于初学者可以好好利用这些资料。把上面这些示例模板和示例设计学习并仿真一遍。主要是将Verilog描述与电路对应起来,在Vivado里面可以使用Schematic,把Verilog描述的电路原理图显示出来。

编辑:hfy


声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • Verilog
    +关注

    关注

    28

    文章

    1327

    浏览量

    109313
  • Vivado
    +关注

    关注

    18

    文章

    790

    浏览量

    65107
收藏 人收藏

    评论

    相关推荐

    如何快速入门FPGA

    时钟管理模块、嵌入式块RAM、布线资源等。 学习FPGA编程语言: 掌握Verilog HDL(硬件描述语言)。Verilog用于数字电路的系统设计,具有简洁的语法和清晰的仿真语义,非
    发表于 04-28 09:06

    如何快速入门FPGA?

    时钟管理模块、嵌入式块RAM、布线资源等。 学习FPGA编程语言: 掌握Verilog HDL(硬件描述语言)。Verilog用于数字电路的系统设计,具有简洁的语法和清晰的仿真语义,非
    发表于 04-28 08:54

    verilog调用模块端口对应方式

    Verilog是一种硬件描述语言(HDL),广泛应用于数字电路设计和硬件验证。在Verilog中,模块是构建电路的基本单元,而模块端口对应方式则用于描述模块之间信号传递的方式。本文将介
    的头像 发表于 02-23 10:20 390次阅读

    verilog的135个经典实例

    verilog的135个经典实例
    发表于 02-02 10:17 0次下载

    VHDL与Verilog硬件描述语言TestBench的编写

    VHDL与Verilog硬件描述语言在数字电路的设计中使用的非常普遍,无论是哪种语言,仿真都是必不可少的。而且随着设计复杂度的提高,仿真工具的重要性就越来越凸显出来。在一些
    的头像 发表于 09-09 10:16 895次阅读
    VHDL与<b class='flag-5'>Verilog</b>硬件<b class='flag-5'>描述语</b>言TestBench的编写

    二十进制编码器及Verilog HDL描述 Verilog HDL程序的基本结构及特点

    通过硬件描述语Verilog HDL对二十进制编码器的描述,介绍Verilog HDL程序的基本结构及特点。
    的头像 发表于 08-28 09:54 1413次阅读
    二十进制编码器及<b class='flag-5'>Verilog</b> HDL<b class='flag-5'>描述</b> <b class='flag-5'>Verilog</b> HDL程序的基本结构及特点

    求助,TD支持哪些硬件描述语言,支持混合语言吗?

    TD支持哪些硬件描述语言,支持混合语言吗?
    发表于 08-11 08:21

    数字电路设计中的一款强大工具—Verilog编程语言介绍

    Verilog是一种硬件描述语言,用于描述数字电路的结构和行为。与传统的编程语言不同,Verilog更加注重电路的行为和时序特性。
    发表于 08-01 09:00 2081次阅读
    数字电路设计中的一款强大工具—<b class='flag-5'>Verilog</b>编程语言介绍

    verilog可综合的语法子集

    可综合的语法是指硬件能够实现的一些语法,这些语法能够被EDA工具支持,能够通过编译最终生成用于烧录到FPGA器件中的配置数据流。
    的头像 发表于 07-23 12:25 815次阅读

    Verilog基本语法概述

    Verilog 是一种用于数字逻辑电路设计的硬件描述语言,可以用来进行数字电路的仿真验证、时序分析、逻辑综合。
    的头像 发表于 06-10 10:04 933次阅读
    <b class='flag-5'>Verilog</b>基本<b class='flag-5'>语法</b>概述

    常见的Verilog行为级描述语法

    常见的模块介绍:选择器;译码器;解码器;比较器。这里我们主要从常见的Verilog描述的层面去介绍,而不着重考虑电路。
    的头像 发表于 05-30 16:24 1013次阅读
    常见的<b class='flag-5'>Verilog</b>行为级<b class='flag-5'>描述语法</b>

    从仿真器的角度理解Verilog语言2

    只作为语法设定来介绍,忽略了Verilog语言的软件特性和仿真特性。使得初学者无法理解Verilog语言在行为级语法(过程块、赋值和延迟)背
    的头像 发表于 05-25 15:10 630次阅读
    从仿真器的角度<b class='flag-5'>理解</b><b class='flag-5'>Verilog</b>语言2

    从仿真器的角度理解Verilog语言1

    只作为语法设定来介绍,忽略了Verilog语言的软件特性和仿真特性。使得初学者无法理解Verilog语言在行为级语法(过程块、赋值和延迟)背
    的头像 发表于 05-25 15:10 677次阅读
    从仿真器的角度<b class='flag-5'>理解</b><b class='flag-5'>Verilog</b>语言1

    FPGA编程语言之verilog语法2

    描述的语言。这也就是说,无论描述电路功能行为的模块或描述元器件或较大部件互连的模块都可以用Verilog语言来建立电路模型。如果按照一定的规矩编写,功能行为模块可以
    的头像 发表于 05-22 15:53 569次阅读
    FPGA编程语言之<b class='flag-5'>verilog</b><b class='flag-5'>语法</b>2

    FPGA编程语言之verilog语法1

    描述的语言。这也就是说,无论描述电路功能行为的模块或描述元器件或较大部件互连的模块都可以用Verilog语言来建立电路模型。如果按照一定的规矩编写,功能行为模块可以
    的头像 发表于 05-22 15:52 600次阅读
    FPGA编程语言之<b class='flag-5'>verilog</b><b class='flag-5'>语法</b>1