0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

dfrobotADKeyboard模拟按键模块简介

DFRobot 来源:dfrobot 作者:dfrobot 2019-12-13 15:36 次阅读

产品简介

Arduino UNO的数字口本来就不多,如果是5个数字按键则要占用5个端口,实在是浪费资源。

然而ADKeyboard模块能让你使用1路模拟口即可读取5个按键的状态,为Arduino节约IO口。另外,5个按键分别标记为S1到S5,任何一个按键按下时,底部对应的LED灯就会亮起。配合Arduino传感器扩展板,可以完成多个按钮互动的作品。

技术规格
  • 工作电压:控制器工作电压
  • 数据类型:模拟信号
  • 尺寸:55x33mm
  • 接口类型:PH2.5-3P

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 嵌入式主板
    +关注

    关注

    7

    文章

    6064

    浏览量

    34620
  • DFRobot
    +关注

    关注

    4

    文章

    1155

    浏览量

    9042
收藏 人收藏

    评论

    相关推荐

    【外设移植】双轴按键摇杆模块Ai-M61开发板

    双轴按键摇杆模块采用金属按键摇杆电位器,模块包含两路模拟输出和一路数字输出接口。
    的头像 发表于 03-12 15:24 428次阅读
    【外设移植】双轴<b class='flag-5'>按键</b>摇杆<b class='flag-5'>模块</b>Ai-M61开发板

    电容按键简介

    一、电容按键简介电容器(简称为电容)就是可以容纳电荷的器件,两个金属块中间隔一层绝缘体就可以构成一个最简单的电容。如图 32-1(俯视图),有两个金属片,之间有一个绝缘介质,这样就构成了一个电容
    发表于 03-02 07:24

    微雪电子8个独立按键模块按钮简介

    8个独立按键模块 8位按键 可用作输入 或进行I/O测试 提供测试程序(AVR、STM8、STM32) 型号 8 Push Buttons
    的头像 发表于 12-30 09:13 2112次阅读
    微雪电子8个独立<b class='flag-5'>按键</b><b class='flag-5'>模块</b>按钮<b class='flag-5'>简介</b>

    微雪电子5 IO 按键模块 小键盘介绍

    5 IO 按键模块 - 10个按键 1个摇杆 10个按键 1个摇杆 提供测试程序 原理图 型号 5 IO Keypad
    的头像 发表于 12-30 09:12 1067次阅读
    微雪电子5 IO <b class='flag-5'>按键</b><b class='flag-5'>模块</b> 小键盘介绍

    微雪电子AD按键模块简介

    AD按键模块 16个按键 仅占用1个AD口 检测接口(被接入方需具备AD检测功能)提供测试程序(STM32) 型号 AD Keypad
    的头像 发表于 12-30 09:28 1570次阅读
    微雪电子AD<b class='flag-5'>按键</b><b class='flag-5'>模块</b><b class='flag-5'>简介</b>

    微雪电子4x4矩阵式按键模块小键盘简介

    4x4矩阵式按键模块 16个按键 占用8个IO接口 提供测试程序 原理图 型号 4x4 Keypad
    的头像 发表于 12-30 09:27 2526次阅读
    微雪电子4x4矩阵式<b class='flag-5'>按键</b><b class='flag-5'>模块</b>小键盘<b class='flag-5'>简介</b>

    微雪电子电容触摸 按键模块简介

    电容按键模块 I2C 或 I/O接口 8个按键 1个滑条 取代传统按钮 电容式触摸按键 可自动校准 型号 Capacitive Touch Keypad (B)
    的头像 发表于 12-30 09:36 1919次阅读
    微雪电子电容触摸 <b class='flag-5'>按键</b><b class='flag-5'>模块</b><b class='flag-5'>简介</b>

    dfrobotADkey 按键模块介绍

    ADKey 键盘模块让你使用1路模拟口即可读取5个按键的状态,为Arduino节约IO口。
    的头像 发表于 11-29 16:40 2918次阅读
    dfrobotADkey <b class='flag-5'>按键</b><b class='flag-5'>模块</b>介绍

    dfrobot 模拟量隔离模块简介

    这款隔离模块能够隔离模拟信号,提供隔离电源给传感器模块使用,能有效防止传感器之间的相互干扰。该模块可用于多参数水质检测系统,防止水质传感器之间相互干扰。
    的头像 发表于 01-03 11:34 3010次阅读
    dfrobot <b class='flag-5'>模拟</b>量隔离<b class='flag-5'>模块</b><b class='flag-5'>简介</b>

    Android 代码模拟物理按键

    开发中有遇到这样的情况,物理按键个数有限, 想用代码模拟其他物理按键输入,这里用了shell 命令在程序运行时环境里模拟按键事件。因命令执行
    发表于 10-22 15:21 43次下载
    Android  代码<b class='flag-5'>模拟</b>物理<b class='flag-5'>按键</b>

    基于AT32(STM32)单片机的模块化代码之——按键代码模块

    这款代码无论是AT32还是STM32都是适用的。开发环境:MDK V5 for arm简介:最近做项目经常用得到按键模块,包括按键扫描,按键
    发表于 11-19 10:06 37次下载
    基于AT32(STM32)单片机的<b class='flag-5'>模块</b>化代码之——<b class='flag-5'>按键</b>代码<b class='flag-5'>模块</b>化

    双轴遥感按键简介

    在控制物体移动方面,像控制智能小车、平衡车、四轴飞控,双轴遥感按键远比按键要实用,而且引脚少,程序编写简单,是时候该放弃对按键的使用了,来看看双轴遥感按键吧!
    的头像 发表于 08-10 09:13 849次阅读

    如何设计一个实用的按键模块

    这是FPGA之旅的第二个设计实例了,按键在项目中的作用是非常大的,使用的很频繁,本例将带大家设计一个实用的按键模块
    的头像 发表于 09-05 09:19 2230次阅读

    PS-9306S按键力旋钮力测试一体机的简介

    PS-9306S按键力旋钮力测试一体机的简介?|深圳市磐石测控仪器有限公司
    的头像 发表于 07-25 09:31 648次阅读
    PS-9306S<b class='flag-5'>按键</b>力旋钮力测试一体机的<b class='flag-5'>简介</b>

    一个应用于单片机的按键处理模块

    一个应用于单片机的按键处理模块
    的头像 发表于 10-24 16:28 343次阅读
    一个应用于单片机的<b class='flag-5'>按键</b>处理<b class='flag-5'>模块</b>!