0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

dfrobotADkey 按键模块介绍

DFRobot 来源:dfrobot 作者:dfrobot 2019-11-29 16:40 次阅读



简介

ADKey 键盘模块让你使用1路模拟口即可读取5个按键的状态,为Arduino节约IO口。

配合Arduino传感器扩展板可以完成使用多个按钮进行互动的作品。

应用领域
  • 互动媒体设计
  • 改装玩具
  • 教育行业方案快速成型
  • DIY电子
技术规格
  • 工作电压:控制器工作电压
  • 数据类型:模拟信号
  • 尺寸:57x37mm
  • 接口类型:IDC10 Gadgeteer Type A
  • 重量:20克

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 嵌入式主板
    +关注

    关注

    7

    文章

    6064

    浏览量

    34624
  • DFRobot
    +关注

    关注

    4

    文章

    1155

    浏览量

    9059
收藏 人收藏

    评论

    相关推荐

    【外设移植】双轴按键摇杆模块Ai-M61开发板

    双轴按键摇杆模块采用金属按键摇杆电位器,模块包含两路模拟输出和一路数字输出接口。
    的头像 发表于 03-12 15:24 435次阅读
    【外设移植】双轴<b class='flag-5'>按键</b>摇杆<b class='flag-5'>模块</b>Ai-M61开发板

    嵌入式扩展板模块按键硬件介绍

    蓝桥杯嵌入式扩展板模块按键硬件介绍Button.cButton.c函数实现的讲解Button.h总结硬件介绍U1S1这是我见到过最NB的硬件电路,我当时还以为是矩阵键盘,没想到它采用
    发表于 12-20 06:06

    介绍独立按键基本的使用方法

    首先呢,大家都知道:历年的蓝桥杯单片机组都会考到按键模块;对于省赛来说,考独立按键的概率远远高于矩阵键盘,所以必须将独立按键的用法吃透来(当然,同时准备矩阵键盘也是比较保险的做法)现在
    发表于 01-06 06:30

    线性CCD模块(可按键调试)

    飞思卡尔单片机 线性CCD模块(可按键调试)
    发表于 01-13 15:01 12次下载

    摄像头模块(可按键调试)

    飞思卡尔单片机 调试器模块(可按键调试)程序
    发表于 01-13 15:01 18次下载

    微雪电子树莓派电容触摸 按键模块介绍

     树莓派电容触摸模块 16个触摸按键 TTP229-LSF
    的头像 发表于 11-12 16:20 2513次阅读
    微雪电子树莓派电容触摸 <b class='flag-5'>按键</b><b class='flag-5'>模块</b><b class='flag-5'>介绍</b>

    微雪电子8个独立按键模块按钮简介

    8个独立按键模块 8位按键 可用作输入 或进行I/O测试 提供测试程序(AVR、STM8、STM32) 型号 8 Push Buttons
    的头像 发表于 12-30 09:13 2118次阅读
    微雪电子8个独立<b class='flag-5'>按键</b><b class='flag-5'>模块</b>按钮简介

    微雪电子5 IO 按键模块 小键盘介绍

    5 IO 按键模块 - 10个按键 1个摇杆 10个按键 1个摇杆 提供测试程序 原理图 型号 5 IO Keypad
    的头像 发表于 12-30 09:12 1067次阅读
    微雪电子5 IO <b class='flag-5'>按键</b><b class='flag-5'>模块</b> 小键盘<b class='flag-5'>介绍</b>

    微雪电子AD按键模块简介

    AD按键模块 16个按键 仅占用1个AD口 检测接口(被接入方需具备AD检测功能)提供测试程序(STM32) 型号 AD Keypad
    的头像 发表于 12-30 09:28 1571次阅读
    微雪电子AD<b class='flag-5'>按键</b><b class='flag-5'>模块</b>简介

    微雪电子电容触摸 按键模块简介

    电容按键模块 I2C 或 I/O接口 8个按键 1个滑条 取代传统按钮 电容式触摸按键 可自动校准 型号 Capacitive Touch Keypad (B)
    的头像 发表于 12-30 09:36 1924次阅读
    微雪电子电容触摸 <b class='flag-5'>按键</b><b class='flag-5'>模块</b>简介

    基于AT32(STM32)单片机的模块化代码之——按键代码模块

    基于AT32(STM32)单片机的模块化代码之按键模块化1.环境介绍平台:AT32F415单片机,雅特力公司的AT32系列单片机其实跟STM32系列单片机大同小异,包括库函数等基本都是
    发表于 11-19 10:06 37次下载
    基于AT32(STM32)单片机的<b class='flag-5'>模块</b>化代码之——<b class='flag-5'>按键</b>代码<b class='flag-5'>模块</b>化

    STM32G4系列MCU学习笔记:按键模块

    二、按键模块的驱动层实现1. 硬件框图2. 按键驱动层代码实现3. 计时操作三、应用层简单逻辑实现总结前言我所学过的按键模块有独立
    发表于 12-05 20:51 10次下载
    STM32G4系列MCU学习笔记:<b class='flag-5'>按键</b><b class='flag-5'>模块</b>

    单片机独立按键模块(含短按,长按,连发功能)

    最近看了很多按键扫描的文章,发现各有长处,后来自己花了一点时间做了一个单片机独立按键扫描的模块,此模块优点颇多,支持短按,长按,连发功能,只要配置相关结构体就可以实现这些功能,唯一的缺
    发表于 12-22 19:46 23次下载
    单片机独立<b class='flag-5'>按键</b><b class='flag-5'>模块</b>(含短按,长按,连发功能)

    如何设计一个实用的按键模块

    这是FPGA之旅的第二个设计实例了,按键在项目中的作用是非常大的,使用的很频繁,本例将带大家设计一个实用的按键模块
    的头像 发表于 09-05 09:19 2246次阅读

    一个应用于单片机的按键处理模块

    一个应用于单片机的按键处理模块
    的头像 发表于 10-24 16:28 344次阅读
    一个应用于单片机的<b class='flag-5'>按键</b>处理<b class='flag-5'>模块</b>!