电子发烧友App

硬声App

0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

电子发烧友网>EDA/IC设计>全流程EDA模拟电路设计解决方案

全流程EDA模拟电路设计解决方案

收藏

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

评论

查看更多

相关推荐

国产EDA龙头企业华大九天IPO获受理,拟募资25.51亿元提升 EDA 关键技术研发

  6月21日,深交所正式受理了EDA企业华大九天的创业板IPO申请。 华大九天成立于2009年,聚焦于EDA工具的开发、销售及相关服务业务,现已成为我国唯一能够提供模拟电路设计流程EDA工具系统
2021-06-23 09:00:004140

华大九天IPO获批注册!2021年营收5.7亿元,募资升级模拟设计及验证EDA工具等

电路设计流程 EDA 工具系统、数字电路设计 EDA 工具、平板显示电路设计流程EDA工具系统和晶圆制造 EDA 工具等EDA 工具软件,并围绕相关领域提供技术开发服务。华大九天现已成为国内规模最大、产品线最完整、综合技术实力最强的 EDA 工具软件提供商。 根据赛
2022-07-04 08:54:003396

EDA技术进行数字电路设计

本文介绍了EDA技术主要特点和功能,并对将EDA技术引入到数字电路设计工作方案进行了探讨。##EDA技术在数字系统中应用以基于AlteraEPM7128SLC84-15芯片和MAX PlusII 10.0软件平台数字钟设计为例,讨论EDA技术在数字系统中具体应用。
2014-01-24 14:38:143494

常见的模拟电路设计

模拟电路电路设计中一个重要的部分,它是指用来对模拟信号进行传输,变换,处理,放大,测量和显示等等工作的电路。而模拟信号是指连续变化的电信号(数字信号是离散的电信号)。
2022-12-01 17:33:491731

电流检测电路设计方案汇总(六款模拟电路设计原理图详解)

本文主要介绍了电流检测电路设计方案汇总(六款模拟电路设计原理图详解),采用差分运放进行高端电流检测的电路更便于使用,因为近期推出了许多种集成电路解决方案。专用高端检流电路内部包含了完成高端电流检测
2018-02-06 11:31:39322979

EDA流程的重要意义,以及国内EDA流程进展

电子发烧友网报道(文/吴子鹏)EDA是Electronic design automation的缩写,中文名称是电子设计自动化,是指通过设计软件来完成集成电路的功能设计、综合、验证、物理设计等流程
2023-12-14 00:08:001412

EDA常用电路设计程序

本帖最后由 gk320830 于 2015-3-5 08:46 编辑 EDA常用电路设计程序
2012-08-20 17:34:35

EDA技术是什么?EDA常用软件有哪些

EDA技术是什么?EDA常用软件有哪些?电子电路设计与仿真工具包括哪些呢?
2022-01-24 06:34:54

EDA设计流程及其工具

EDA设计流程及其工具.ppt
2017-01-21 13:07:21

模拟电路教程

模拟电路教程,涵盖大学模拟电路的所有教程、课件、实验。
2018-09-24 11:51:24

模拟电路设计

模拟电路设计
2019-06-19 19:20:44

模拟电路设计困难的原因

模拟电路设计困难的具体原因模拟电路设计重在学习和累积经验成为优秀的模拟电路设计
2021-03-01 06:22:17

模拟电路设计手册(晋级应用指南)高清书签版

提供了丰富的电路设计实例、详实的解决问题思路,以备参考使用。本书深入剖析了各种应用实例,展示了其中的设计细节、设计理论、高水平解决方案等,是成功设计电路的重要参考,其价值不可估量。本书是《模拟电路设计:应用设计指南》的姊妹篇。《无线电》杂志特别推荐图书。
2019-08-23 14:40:11

模拟电路设计的细节有哪些

模拟电路设计的细节有哪些
2021-03-11 06:26:51

电路设计

包含4本书:电力生产人员技能培训 电路基础部分 射频集成电路芯片原理与用用电路设计--黄智伟CMOS模拟集成电路设计与仿真电路同步辅导及习题解[hide][/hide]
2011-07-28 09:17:27

电路设计EDA软件大比拼,你独宠哪一款?

到Ultiboard中进行PCB的设计;虚拟元器件只能用于电路的仿真。Protel的高版本Altium Designer,是业界第一款也是唯一一种完整的板级设计解决方案。是业界首例将设计流程、集成化
2019-09-24 07:30:00

【下载】《实用模拟电路设计》--汤普森

`《实用模拟电路设计》是2009年人民邮电出版社出版的图书,作者是(美国)汤普森。《实用模拟电路设计》是汤普森博士20年模拟电路设计和教学经验的总结,讲述了模拟电路与系统设计中常用的直观分析方法
2017-04-10 14:31:24

【视频教程】75分钟掌握立创EDA电路设计与制作流程

以基于STM8的温度采集模块为案例,手把手讲述立创EDA电路设计与制作的流程,让你75分钟内就掌握立创EDA的开发要领。视频教程观看:B站链接。视频教程内容概要:01-电路设计制作的基本流程
2020-04-25 12:59:25

什么是模拟集成电路

模拟集成电路的主要构成电路有:放大器、滤波器、反馈电路、基准源电路、开关电容电路等。模拟集成电路设计主要是通过有经验的设计师进行手动的电路调试,模拟而得到,与此相对应的数字集成电路设计大部分是通过使用硬件描述语言在EDA软件的控制下自动的综合产生。
2011-11-14 14:04:28

什么是射频EDA仿真软件?

电路的设计与工艺研制日益复杂化,如何进一步提高电路性能、降低成本,缩短电路的研制周期,已经成为电路设计的一个焦点,而EDA技术是设计的关键。EDA技术的范畴包括电子工程设计师进行产品开发的全过程,以及
2019-07-30 07:27:53

凌力尔特模拟电路设计手册:应用及解决方案指南丛书PDF

://t.elecfans.com/topic/65.html?elecfans_trackid=t***cy《模拟电路设计手册:应用及解决方案指南丛书》目前一共有三卷,分别是:《Analog Circuit
2017-12-01 17:41:23

分享一个不错的使用陶瓷电容的小型解决方案

分享一个不错的使用陶瓷电容的小型解决方案
2021-06-17 10:09:24

分享一款不错的汽车TPMS系统的无线收发系统的电路设计解决方案

本文以Nordic公司生产的射频芯片为基础,介绍了汽车TPMS系统的无线收发系统的电路设计解决方案,从而对上述直接式的TPMS系统加以改进。
2021-06-08 06:37:43

华为模拟电路设计

`华为模拟电路设计`
2012-10-15 19:00:03

双向扬声器模拟有源分频解决方案

描述此 TI 验证设计针对双向扬声器实施了模拟有源分频解决方案,适用于录音室或家庭高保真系统。低音扬声器信号通道包括障板跌落补偿的低通倾斜电路和 4 阶 Linkwitz-Riley 低通滤波器
2018-11-27 11:34:50

四路HDMI电路PCB流程设计

四路HDMI电路PCB流程设计(素材)
2023-09-20 06:09:18

基于STM32核心板的电路设计

立创EDA电路设计与制作基于STM32核心板的电路设计与制作流程什么是STM32核心板组成:通信——下载模块接口电路、电源转换电路、JTAG/SWD调试接口电路、独立按键电路、OLED显示屏接口电路
2021-11-11 08:17:03

实用模拟电路设计

实用模拟电路设计
2018-01-23 14:33:05

常用的微波EDA仿真软件论述

的发展阶段,电路的设计与工艺研制曰益复杂化,如何进一步提高电路性能、降低成本,缩短电路的研制周期,已经成为电路设计的一个焦点,而EDA技术是设计的关键。EDA技术的范畴包括电子工程设计师进行产品开发的全过程
2019-06-27 07:06:05

微波EDA仿真软件

电路的设计与工艺研制日益复杂化,如何进一步提高电路性能、降低成本,缩短电路的研制周期,已经成为电路设计的一个焦点,而EDA技术是设计的关键。EDA技术的范畴包括电子工程设计师进行产品开发的全过程,以及
2019-06-19 07:13:37

新思科技发布业界首款栈式AI驱动型EDA解决方案Synopsys.ai

技(Synopsys, Inc.,纳斯达克股票代码:SNPS)隆重推出了业界首款栈式AI驱动型EDA解决方案Synopsys.ai,覆盖了先进数字与模拟芯片的设计、验证、测试和制造环节。基于此,开发者第一次
2023-04-03 16:03:26

求一个桥高频同步整流电路设计及工作原理?

求一个桥高频同步整流电路设计及工作原理
2015-09-20 14:57:49

硬件电路设计流程系列

一、硬件电路设计流程系列--硬件电路设计规范 二、硬件电路设计流程系列--方案设计(1) :主芯片选型 三、硬件电路设计流程系列--方案设计(2) :芯片选购 四、硬件电路设计流程系列--方案设计(3) :功耗分析与电源设计 五、硬件电路设计流程系列--方案设计(4):设计一个合适的系统电源
2017-10-17 17:16:07

请问哪位大神设计过EDA4X4阵列键盘键信号检测电路设计的?

请问哪位大神设计过EDA4X4阵列键盘键信号检测电路设计的?有的话给我发一份吧,在此谢过。
2015-01-04 14:13:57

请问如何利用模拟工具优化电路设计

如何利用模拟工具优化电路设计?如何利用专用仿真器解决RF电路问题? 使用模拟工具有哪些好处?
2021-04-13 06:40:30

集成电路设计分工

正规的集成电路设计公司在进行片上系统(SoC)设计时都有明确的岗位分工,甚至会以部门的形式来区分各部分的职责,而且很多时候集成电路设计公司还会提供整体解决方案,包括芯片、软件和硬件,生产商直接按这个
2018-08-15 09:25:59

集成电路设计培训之静态时序分析 邀请函

专门的知识和技能。面对越来越复杂的集成电路设计,有较多初学者存在编写的约束文件不完整或者不了解如何进行时钟约束及多周期路径约束导致时序违例不能准确上报、误报较多时序违例问题,且经验不足无法快速有效定位
2020-09-01 16:51:01

华为模拟电路设计pdf

华为模拟电路设计教程:从晶体管到振荡电路,滤波器,负反馈,电接口知识,应用电路例程丰富。是结
2008-07-11 10:55:4021

原理图EDA工具

设计原理图的效率,加速完善国产EDA布局,面向电子系统/产品研发流程,提供更高效、更智能的EDA软件及数据服务。
2022-04-11 13:47:20

EDA软件在电路设计中的应用

EDA软件在电路设计中的应用 摘要: 在EDA软件的基础上, 介绍了仿真功能在数字逻辑电路设计中的应用, 佐证了由传统实验教学向现代化创新性教学的重要性。并进
2009-12-05 16:22:130

平坦世界的EDA 设计解决方案

平坦世界的EDA 设计解决方案 简介:文章从EDA 设计工具的发展过程,指出信息技术推动下电子产品企业的需求及所面临的挑战,介绍了目前EDA 行业唯一能够
2009-12-07 13:49:140

模拟电源电路设计资料(经典)

模拟电源电路设计资料(经典):众所皆知,电源电路设计,乃是在整体电路设计中最基础的必备功夫,因此,在接下来的文章中,将会针对实体电源电路设计的案例做基本的探讨。
2010-01-04 18:35:43116

模拟电源电路设计资料(经典)

模拟电源电路设计资料(经典) 电源电路设计. 众所皆知,电源电路设计,乃是在整体电路设计中最基础的必备功夫,因此,在接下来的文章中,将会针对
2010-03-13 15:24:580

立体智慧仓储解决方案.#云计算

解决方案智能设备
学习电子知识发布于 2022-10-06 19:45:47

电路设计技巧PCB设计流程

电路设计技巧PCB设计流程 一般PCB基本设计流程如下:前期准备->PCB结构设计->PCB布局->布线->布线优化和丝印->网络和DRC检查和结构检查->制版。  
2009-11-06 10:10:051891

PCB电路设计流程

PCB电路设计流程     PCB的设计流程分为网表输入、规则设置、元器件布局、布线、检查、复查、输出六个步骤.1. 网表
2009-11-11 14:49:13700

模拟电路设计的九个阶段

模拟电路设计的九个阶段 模拟电路设计的九个级别,从一段到九段都看完了,你就知道自己是哪个阶段的水平了。 一段
2010-04-20 08:51:02933

IC设计流程和设计方法

集成电路设计流程 集成电路设计方法 数字集成电路设计流程 模拟集成电路设计流程 混合信号集成电路设计流程 SoC芯片设计流程
2011-03-31 17:09:12380

攻垒AMS设计方案,EDA商力推模拟/验证工具

电子设计自动化(EDA)大厂正卯足劲强攻高速模拟混合信号(AMS)设计模拟/验证方案。随着系统单晶片(SoC)内部模拟混合讯号电路激增,包括明导国际(Mentor Graphics)、新思科技(
2012-12-11 09:43:221410

电子大赛---23模拟篇程序及电路设计 (1)

电子大赛---23模拟篇程序及电路设计 (1)电子大赛---23模拟篇程序及电路设计 (1)
2015-11-13 11:44:150

电子大赛---23模拟篇程序及电路设计 (2)

电子大赛---23模拟篇程序及电路设计 (2)电子大赛---23模拟篇程序及电路设计 (2)
2015-11-13 15:14:170

模拟电路设计经验12条

模拟电子的相关知识学习教材资料——模拟电路设计经验12条
2016-09-27 15:19:030

模拟电路设计的九个级别

模拟电子的相关知识学习教材资料——模拟电路设计的九个级别
2016-09-27 15:19:030

电路设计中的模拟地与数字地

电路教程相关知识的资料,关于电路设计中的模拟地与数字地
2016-10-10 14:17:590

硬件电路设计流程--原理图设计

电路教程相关知识的资料,关于硬件电路设计流程--原理图设计
2016-10-10 14:34:310

EDA设计流程及其工具

EDA设计流程及其工具
2016-12-11 23:38:390

RF电路设计中常见问题及解决方案

RF电路设计中常见问题及解决方案
2017-01-11 12:55:2332

EDA乐曲演奏硬件电路设计相关资料大全

EDA乐曲演奏硬件电路设计相关资料大全
2017-01-17 19:47:0428

实用模拟电路设计技术Section9

实用模拟电路设计技术
2022-07-11 16:50:360

实用模拟电路设计技术Section6

实用模拟电路设计技术
2017-03-01 13:33:510

实用模拟电路设计技术Section2

实用模拟电路设计技术
2017-03-01 13:33:310

在数字电路设计方案EDA技术会带来什么影响?

通过仿真确定设计基本成功后,即可通过Byteblaster下载电缆线将设计项目以JTAG方式下载到器件中,完成设计所有工作。通过此例设计流程讲述可知,EDA技术及其工具在数字电路系统(包括模拟电路系统)中正发挥着越来越重要作用,其应用深度和广度正在向更深层次延伸。
2018-07-18 13:59:001007

乘法器电路设计方案汇总(五款模拟电路设计原理及仿真程序分享)

本文为大家介绍五款乘法器电路设计方案,包括五款模拟电路设计原理及仿真程序分享,以供参考。
2018-01-17 18:03:3053772

除法运算电路设计方案汇总(九款模拟电路设计原理详解)

本文为大家带来九款不同的除法运算电路设计方案,包括这九款模拟电路设计的原理及设计过程。
2018-01-17 18:24:4949631

停电报警器电路设计方案汇总(五款模拟电路设计原理图详解)

本文主要介绍了停电报警器电路设计方案汇总(五款模拟电路设计原理图详解),方案三分析了大部分电冰箱没有延时断电保护器,市电偶尔断电,瞬间又恢复供电,会对电冰箱造成不利影响,而白天又不易发现停电
2018-01-28 10:20:0935115

超温报警器电路设计方案汇总(六款模拟电路设计原理图详解)

本文主要介绍了超温报警器电路设计方案汇总(六款模拟电路设计原理图详解),方案一中超温报警电路由温度采集电路、继电器控制电路、延时电路、秒脉冲信号发生器、计数译码电路、数显电路、报警电路共同
2018-01-29 10:10:2729655

计数报警器电路设计方案汇总(多款模拟电路设计原理图详解)

本文主要介绍了计数报警器电路设计方案汇总(多款模拟电路设计原理图详解),方案二主要由直流电源电路(整流、滤波、稳压电路)和计数报警电路(计数电路、译码电路、显示电路、声光报警电路)组成,:声光报警功能用蜂鸣器和LED灯实现,控制LED报警十秒,蜂鸣器报警10秒。
2018-01-29 10:30:0723163

2010年TI杯模拟电路设计联赛

2010年TI杯模拟电路设计联赛规则。
2018-05-09 15:06:2621

华大九天宣布IC设计解决方案已进入TowerJazz公司参考流程 通过iPDK验证

来自中国北京的电子设计自动化(EDA解决方案供应商华大九天日前宣布,其模拟/混合信号全流程IC设计解决方案已正式进入TowerJazz公司参考流程,并已通过工艺设计工具包(iPDK)的质量验证。
2018-08-30 17:12:311891

一文弄懂电路设计中合理应用EDA软件

电子电路的设计是一项非常复杂的系统工程,在设计过程中,由设计者通过对具体数据进行相应的分析,然后提出初步设计方案,再进行相应的修改与调试,不断地对电路的设计进行补充,完善电路设计方案。这个过程
2018-11-13 11:34:53958

电路设计模拟EDA工具的种类与选择

EDA技术是在电子CAD技术基础上发展起来的计算机软件系统,是指以计算机为工作平台,融合了应用电子技术、计算机技术、信息处理及智能化技术的 最新成果,进行电子产品的自动设计。利用EDA工具,可以将电子产品从电路设计、性能分析到设计出IC版图或PCB版图的整个过程在计算机上自动处理完成。
2019-02-25 16:47:174270

isoPower解决方案电路设计中的应用

本次研讨会主要介绍在通信接口、传感器和栅极驱动应用中实现高达500mW的隔离稳压电源的设计技术与解决方案。讨论将包括采用分立式、模块化、集成的隔离DC/DC转换器与数据耦合技术时的优缺点及其它设计考虑。ADI公司的isoPower®解决方案将应用于现实世界的诸多电路设计中。
2019-07-12 06:00:002043

模拟电路设计的基本流程_模拟电路设计注意事项

模拟电路是指用来对模拟信号进行传输、变换、处理、放大、测量和显示等工作的电路模拟信号是指连续变化的电信号。模拟电路是电子电路的基础,它主要包括放大电路、信号运算和处理电路、振荡电路、调制和解调电路及电源等。
2019-09-26 15:42:4517744

电路设计中常用的EDA软件介绍

中国已走到了WTO的门口,随着WTO的加入,电路行业将会受到较大的冲击,许多从事电路设计工作的人员对EDA软件并不熟悉。笔者此文的目的就是让这些同业者对此有些了解,并以此提高他们利用电脑进行电路设计的水平。
2019-11-19 15:04:179432

一文知道EDA的设计流程

EDA技术进行电路设计的大部分工作是在EDA软件平台上进行的。EDA的设计流程主要包括设计输入、设计处理、设计验证、器件编程和硬件测试等5个步骤。
2020-05-15 11:44:0213053

模拟电路设计困难的具体原因资料下载

电子发烧友网为你提供模拟电路设计困难的具体原因资料下载的电子资料下载,更有其他相关的电路图、源代码、课件教程、中文资料、英文资料、参考设计、用户指南、解决方案等资料,希望可以帮助到广大的电子工程师们。
2021-04-02 08:46:4910

工程思想与模拟电路设计资料下载

电子发烧友网为你提供工程思想与模拟电路设计资料下载的电子资料下载,更有其他相关的电路图、源代码、课件教程、中文资料、英文资料、参考设计、用户指南、解决方案等资料,希望可以帮助到广大的电子工程师们。
2021-04-19 08:40:5616

爱思唯尔出版“模拟电路设计”第三卷

爱思唯尔出版“模拟电路设计”第三卷
2021-05-19 08:15:360

模拟CMOS集成电路设计(拉扎维)pdf

模拟CMOS集成电路设计(拉扎维)pdf
2021-12-06 10:05:050

模拟CMOS集成电路设计》.pdf

模拟CMOS集成电路设计》.pdf
2022-01-20 10:02:300

CMOS模拟集成电路EDA设计技术part1

CMOS模拟集成电路EDA设计技术part1 [戴澜] 主编 适合EDA基础工具的学习
2022-06-27 15:15:535

CMOS模拟集成电路EDA设计技术part2

CMOS模拟集成电路EDA设计技术 [戴澜 主编] 2014年版.part2.rar 适合模拟电路设计EDA基础工具学习
2022-06-27 15:14:466

数字电路设计的基本流程

数字电路设计是数字电路最为关键及重要的一步,今天我们将从各个流程为大家介绍完整的数字电路设计!
2022-07-10 17:14:166046

英诺达EDA解决方案荣获2023年度技术突破EDA公司奖

今年,英诺达(成都)电子科技有限公司受邀在大会做主题报告,并凭借其EDA产品及解决方案有幸荣获2023中国IC设计成就奖之“年度技术突破EDA公司奖”。
2023-03-31 12:29:17349

什么是板级EDA软件 eda器件分几类 数字EDA模拟EDA的区别

板级EDA软件(PCB EDA软件)也是一种电子设计自动化(EDA)软件,它是用于电子电路设计电路板布局、布线、验证、生产等工作的一款软件程序。该软件允许工程师创建电路原理图,以及通过软件辅助完成电路布局和布线的设计工作。
2023-05-03 05:42:004949

传统电路设计eda的不同 eda技术的核心是什么

传统电路设计采用手工方式进行,需要手绘原理图,手算电路参数,然后进行电路仿真和验证。而EDA则采用自动化工具和软件,能够实现原理图的自动生成、自动布局、自动布线等功能,从而大大提高了设计效率和精度。
2023-04-24 17:31:371335

eda技术的核心是仿真吗 EDA的四要素 EDA技术的作用

 EDA技术的核心并不是仿真,仿真只是EDA技术的一个重要环节。EDA技术的核心是利用计算机辅助设计(CAD)工具,将电路设计自动化,实现从电路设计到制造的全流程自动化。仿真只是在这一流程中的重要一环,用于验证设计的正确性、可靠性和稳定性等方面。
2023-04-24 18:22:151875

国产PCB全流程电路设计解决方案

流程解决方案,包含为昕原理图设计软件(Jupiter)、为昕PCB设计软件(Mars)、为昕模型建库及管理软件
2023-06-19 10:01:30326

模拟电路设计的基本流程和注意事项

模拟电路是指用来对模拟信号进行传输、变换、处理、放大、测量和显示等工作的电路模拟电路是电子电路的基础,它主要包括放大电路、信号运算和处理电路、振荡电路、调制和解调电路及电源等。
2023-07-04 18:18:571177

师资培训 | 集成电路-华大九天模拟电路设计流程EDA工具系统师资培训圆满结束

培训回顾—— 集成电路-华大九天模拟电路设计流程EDA工具系统师资培训 NEWS ” 8月12日至14日, 集成电路-华大九天模拟电路设计流程EDA工具系统师资培训 顺利进行 ,此次培训由北京
2023-08-16 17:55:05621

eda设计流程包含哪几个主要步骤

EDA(Electronic Design Automation)即电子设计自动化,用于电路设计和芯片设计的过程。以下是EDA设计流程的主要步骤:   1. 设计规划(Design
2023-08-29 14:36:284670

国产EDA“夹缝”生存 集成电路设计和制造流程

EDA有着“芯片之母”称号,一个完整的集成电路设计和制造流程主要包括工艺平台开发、集成电路设计和集成电路制造三个阶段,三个设计与制造的主要阶段均需要对应的EDA工具作为支撑。
2023-09-28 14:31:23897

新思科技提供跨台积公司先进工艺的参考流程,助力加速模拟设计迁移

设计质量的同时,节省数周的手动迭代时间。 新思科技可互操作工艺设计套件(iPDK)适用于台积公司所有FinFET先进工艺节点,助力开发者快速上手模拟设计。 新思科技携手Ansys 和 Keysight 共同推出全新射频设计参考流程,能够为现代射频集成电路设计提供完整解决方案。 加利福
2023-10-24 11:41:37186

活动预告|湾区有你,芯向未来,华大九天与您相约ICCAD 2023

北京华大九天科技股份有限公司(简称“华大九天”)成立于2009年,一直聚焦于EDA工具的开发、销售及相关服务业务,致力于成为全流程、全领域、全球领先的EDA提供商。 华大九天主要产品包括模拟电路设计
2023-10-27 19:45:01259

放大器电路设计:常见设计问题及解决方案

电子发烧友网站提供《放大器电路设计:常见设计问题及解决方案.pdf》资料免费下载
2023-11-24 14:50:463

活动预告|多领域,全流程,华大九天多地技术研讨会邀您参与

电路设计流程EDA工具系统、存储电路设计流程EDA工具系统、射频电路设计流程EDA工具系统、数字电路设计EDA工具、平板显示电路设计流程EDA工具系统、晶圆制造EDA工具和先进封装设计EDA工具等软件,并围绕相关领域提供技术开发服务。产品和服务
2023-12-13 16:05:03194

国内首款自研的DFT EDA工具IMPERATA重磅发布

IMPERATA是简矽自主研发的一款DFT EDA工具。它提供了一整套解决方案,用于在集成电路设计过程中实现测试和验证的自动化。
2024-02-20 17:18:20492

已全部加载完成