电子发烧友App

硬声App

0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

电子发烧友网>制造/封装>半导体技术>半导体新闻>台积电InFO明年初通吃4厂有难度?外资提出 3 疑点

台积电InFO明年初通吃4厂有难度?外资提出 3 疑点

收藏

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

评论

查看更多

相关推荐

意法推新一代GNSS芯片 可升级支持北斗系统

意法半导体明年初量产的第三代GNSS芯片将全面扩大应用范畴。
2013-01-30 10:21:571486

压力触控明年爆发,Android 手机也会有

苹果 iPhone 6s / 6s Plus 强打“3D Touch”压力触控功能,Android 用户不用太羡慕,明年初的 Android 旗舰机也会有类似功能。
2015-10-08 08:33:00441

电子芯闻早报:台积电明年通吃iPhone7订单

外资摩根大通证券在亚洲半导体报告中指出,半导体供应链已有“春笋发芽”之势,台积电28奈米稼动率将从本季的75%增至明年Q1的85-90%,主要是无线客户全面补库存。另台积明年可能通吃iPhone7订单,成为A10处理器独家供应商。欲知更多科技资讯,请关注每天的电子芯闻早报。
2015-12-02 10:16:53806

三星明年初量产7nm处理器 据说会用在三星S9上

台积电已经计划从今年开始测试7nm芯片的制造工艺,并且计划明年开始大规模量产,而现在三星则提出了一个更“激进”的规划图,在2018年年初下一代Galaxy S9就有可能使用上这款7nm工艺的处理器。
2017-01-25 13:00:311030

亚系外资出具报告 看好联发科明年营收可望年增7%

IC设计联发科(2454)即将在31日召开法说会,外界高度关注联发科获利与后市展望,亚系外资出具报告看好联发科明年营收可望年增7%,因新品加入,有助产品组合,预估毛利率将由今年的38%增至39%。
2018-10-23 10:10:30469

0.18工艺电源电压分别是多少?

0.18工艺电源电压分别是多少?是1.8v跟3.3v吗?
2021-06-25 06:32:37

5nm架构设计试产

宣布5nm基本完工开始试产:面积缩小45%、性能提升15%.pdf(105.52 KB)
2019-04-24 06:00:42

或将“独吞”A7大单

~2年内有机会独吞苹果A7处理器的订单。据悉,明年第1季S3C6410开始试产A7,顺利的话,后年上半年将进入量产阶段。  苹果iPhone 5上市后,受销量徒增的影响,导致A6处理器供货紧张
2012-09-27 16:48:11

电量产安徽iPhone 8用大时代10nmA11芯片可靠吗

正在大量生产用于苹果iPhone8手机的10nm A11处理器。消息称,苹果可能在下个月初正式发布iPhone 8,但是具体发货日期仍然不确定。  据悉,已经采用10nm FinFET
2017-08-17 11:05:18

有难度的开关电源设计

各位大侠,本人想做一个160W左右的开关电源,要求5v,12A;12v,4A;24V,2A;不知道用什么方案好,请帮忙推荐下,非常感谢!
2012-03-05 13:07:34

MLCC龙头涨价;车厂砍单芯片;28nm设备订单全部取消!

%。西安二预计将生产13.5万片,比之前的14.5万片减少了约7%。业界观察人士认为,三星选择砍掉部分NAND产能,因为当前内存市场形势惨淡。 【28nm设备订单全部取消!】 4月消息,由于
2023-05-10 10:54:09

TD-LTE明年测试原则初定:不扩城市只扩基站

11月15日消息,知情人士透露,TD-LTE将于明年进入下一阶段的更大规模技术试验阶段,目前试验方案仍没确定,但总体的原则是明年初开始新一轮测试,不增加参与测试的城市数量,仍为6个,但每个参与城市
2011-11-15 09:02:56

[转]借16nm FinFET Plus及InFO WLP 通吃英特尔苹果

转自http://www.eet-china.com/ART_8800697889_480201_NT_08124b24.HTM借16nm FinFET Plus及InFO WLP 通吃英特尔
2014-05-07 15:30:16

[转帖]IDMQ2后扩大委外

整合组件制造(IDM)第2季后扩大委外代工,除了将高阶65/55奈米及45/40奈米交给晶圆双雄(2330)、联(2303)生产,晶圆测试订单可望由日月光(2311)、欣铨(3264)、京
2010-05-06 15:38:51

【AD新闻】百万片订单大洗牌!或成高通新一代PMIC芯片最大供应商

需求量高达百万片,2017年将从PMIC 4规格转到PMIC 5,预计PMIC 5在2017年底开始小量生产,真正大量出货会落在2018年,未来PMIC 5将逐渐成为高通电源管理芯片主流,而将以
2017-09-22 11:11:12

【AD新闻】竞争激烈!中芯抢高通芯片订单

据外媒报道,预计将获得高通新一代电源管理芯片(PWM IC)70%至80%的订单。高通前一代电源管理芯片是由中芯国际(SMIC)生产的,后者在其8英寸晶圆厂使用0.18至0.153微米工艺来生
2017-09-27 09:13:24

【新页无线充电5W发射模块NT1005A试用申请】便携式移动能源

项目名称:便携式移动能源试用计划:计划应用到便携式移动能源项目中,预计明年初上市,准备去京东东众筹。
2017-09-26 11:19:42

【转贴】争排名很幼稚——电工程师写给学弟学妹们的信

;nbsp;   很多人会回答:我想当高级主管,进赚股票。因为我崇拜张忠谋、曹兴诚。以下是我就业三年以来,对***电子信息产业的一些看法: &
2009-08-23 11:28:40

三星半导体发展面临巨大挑战

支MAX3232EUE+T出较前一年增加,前5大半导体的资本支出分别为三星电子131亿美元、英特尔112亿美元、约83亿K1667美元、海力士约37亿美元、GlobalFoundries约31
2012-09-21 16:53:46

二例较有难度的硬件电路设计及电路解析   

动/手动转换开关K0置手动位,按K3,可使J6得自锁,J6触点闭合使Z1得吸合,使D1电机逆程运行,按K2按钮,J6失断开->Z1失断开->电机D1停车。按K4,可使
2012-12-14 17:35:18

全球进入5nm时代

(SoIC)等技术,业界预期竹南未来将以3D IC封装及测试产能为主。预计明年量产。人才 半导体制造主要依靠资金和人才,而这两项都具有优势,且在不断扩充。去年,全球首座5nm晶圆厂进入量产测试阶段
2020-03-09 10:13:54

华为P11 Plus遭曝光,或明年2月份上市

华为、OPPO、vivo的潜望式双镜头均已进入产品设计阶段,都将采用7P(7片塑料镜头)规格,有望在明年初发布。因此从时间上来说,明年第一季发布的华为P11系列或将首次搭载潜望式双摄像头技术,并带来
2017-11-20 15:57:22

各类常用工艺库,中芯国际,华润上华

各类常用工艺库,中芯国际,华润上华
2015-12-17 19:52:34

增益带宽是什么?

带宽),指运算放大器(OP)在一定的频率范围内,其增益(dB)和频率之乘积不变,称为增益带宽。单位为Hz。也用GBW表示。模课学的是版本一,《OP放大器应用技巧100例》中是版本二;哪个是正确的?还是说这两种说法有区别?
2017-12-07 23:15:57

廉价iPhone/iPad 5/iTV/iPad mini 2齐曝光

,而后者则是他们预谋多时并想要进入和改变的一个领域。与此同时,***媒体还给出消息称,苹果与的合作将会提前至2013年度开始,而后者主要为其代工28nm的处理器(传闻它是A7四核)。
2012-12-12 10:15:30

日产汽车启动美国最大汽车锂离子电池

据日经BP社报道,日产汽车公司建于美国田纳西州士麦那的汽车锂离子电池工厂于日前启动。其生产的电池将提供相邻的即设车辆组装工厂,用于明年初开始生产的2013年款纯电动汽车“LEAF(聆风)”。新工
2012-12-20 16:14:36

日进3.3亿,年狂挣千亿的,为何还涨价?

本帖最后由 华强芯城 于 2023-3-17 09:16 编辑 晶圆代工巨头——近日传出涨价20%的消息,业内轰动。这是继2020年底上涨超10%之后,一年之内,又一次的大幅上涨
2021-09-02 09:44:44

晶圆代工互相争夺 谁是霸主

。  据了解,一方面决定快速投资设备——ASML,重金砸下新台币400亿元,领先取得跨入18寸晶圆的门票,给竞争对手巨大压力;另一方面,为了系统单晶片趋势,也开始向下游封测业布局。  在智能手机销量
2012-08-23 17:35:20

晶圆会涨价吗

侵蚀下半年毛利率2-3%,若加上新冠肺炎疫情带来的不确定性影响,导致需求下滑,影响产能利用率,则下半年毛利率将较上半年衰退几个百分点。去年10 月时,原先预期今年营收将优于产业平均、即年增逾17
2020-06-30 09:56:29

电子类的毕业生需要什么证书增加含金量?

如题,最近大四的都在签工作了。突然发现自己好像如果明年做简历的话都不知道写什么,有人建议去考嵌入式软件工程师,计算机4级,,,,,,,,,,,需要这样吗?还有别的什么吗?好考虑考虑(大学想要
2012-09-18 16:26:14

苹果芯片供应商名单曝光后 三星哭了!

制程工艺)代工的,这使得两个版本的手机在续航方面存在着不小的差距,这也让苹果备受诟病(芯易网:xinyiic.com注)。为了独得苹果的订单,也是拼了。在2016年年初就宣布投入创纪录
2016-07-21 17:07:54

论工艺制程,Intel VS谁会赢?

坛上,其总经理兼联合CEO刘德音表示,他们早已制造出7nm的SRAM,并确认10nm将在2016年初试产,7nm则预期在2017年Q1开试。报道称,非常高兴,因为终于超过英特尔了。他们还趁热预告
2016-01-25 09:38:11

中芯国际:能否成为“”?

中芯国际
芯前沿发布于 2021-07-16 18:28:10

比亚迪进军半导体,或成下一个#半导体

时事热点
硬声何同学发布于 2021-08-26 15:18:50

宣布芯片全面涨价!除了赚钱,还意味着什么?

硬件小哥哥发布于 2021-09-02 18:07:15

芯片皇帝,利润超过苹果公司,高科技的背后,不只依赖光刻机

苹果公司行业芯事经验分享
中国芯动向发布于 2022-06-07 15:56:41

要自研光刻机#芯片 #

行业芯事经验分享
中国芯动向发布于 2022-06-07 16:46:41

中国“芯片之城”诞生,年收入近2000亿元#科技 #南京 #.

行业芯事时事热点
中国芯动向发布于 2022-06-08 14:46:59

砸下1万亿!计划2025年量产2nm

量产行业芯事时事热点
电子发烧友网官方发布于 2022-06-10 16:19:54

美国发布芯片法案战略文件 企业最快明年初瓜分500亿美元

行业芯事时事热点
电子发烧友网官方发布于 2022-09-07 18:15:57

媒:2纳米预计2025年量产

纳米量产行业芯事时事热点
电子发烧友网官方发布于 2022-09-13 14:32:34

AMD产品路线图曝光 明年初发布3GHz处理器

    北京时间7月25日消息,根据AMD公司的最新产品路线图,该公司将于明年初升级Athlon 64 X2和Athlon 64 FX产品线,其中包括发布主频达3GHz的FX-59处理器。AMD将
2006-03-13 13:09:56400

三星大规模采购光刻机#科技#科普#知识

光刻晶圆制造
小凡发布于 2022-09-25 16:25:50

#硬声创作季 【科技】PS5每人限购一 苹果ARM芯片造 [ #339]

ARM芯片行业芯事时事热点
Mr_haohao发布于 2022-09-30 07:14:39

認識晶圓的製造過程 #

电厂光刻机晶圆制造
电厂运行娃发布于 2022-10-16 03:32:23

明年涨价,#芯片 #晶圆制造过程 # #半导体 #台湾 中国芯片崛起#硬声创作季

晶圆中国芯中国芯片晶圆制造时事热点
电子师发布于 2022-10-20 08:58:23

3nm工艺延期 回应#芯片制造

工艺芯片制造行业资讯
硬声科技热点发布于 2022-10-20 16:45:35

高通掌门人谈芯片重要性,称元宇宙世界将来临#芯片制造

芯片制造Qualcomm AthQualcommQualcomm骁龙行业资讯
硬声科技热点发布于 2022-10-20 16:46:06

延迟!正式做出回应了#芯片制造

芯片制造行业资讯
硬声科技热点发布于 2022-10-21 14:05:52

联发科山寨苹果APP商店 明年初进驻国产手机

联发科山寨苹果APP商店 明年初进驻国产手机 就在苹果iPhone进入中国之际,一场克隆iPhone商业模式的运动正悄然在国产手机阵营蔓延。 “目前酷乐音乐播放器的用
2009-12-29 10:39:33458

产能利用率下滑,鼓励员工多休假#芯片制造芯片制造

芯片制造行业资讯
新知录发布于 2022-10-26 14:33:46

传苹果大砍A16/15芯片订单

行业资讯
电子发烧友网官方发布于 2022-11-02 11:44:19

摩尔定律不死 已在谋划1nm工艺 #硬声创作季

行业芯事
jf_49750429发布于 2022-11-02 20:44:11

IBM首位女性CEO罗曼明年初上任

IBM周二发表声明称,该公司董事会已选定长期以来担任公司高管的弗吉尼亚罗曼提(Virginia Rometty)为总裁兼CEO,她将在明年1月1日正式接替彭明盛(Sam Palmisano)的职务,成为IBM首位女性总裁
2011-10-26 09:55:25544

AMD 28nm GPU明年初才能批量出货

虽然AMD在季度财报中确认今年底就会出货下一代28nm GPU并获得收入,但最新消息称,南方群岛今年的出货规模将会非常有限,明年初才会开始批量投放。AMD赶在2011年正式发布Radeon HD 700
2011-11-07 09:50:22321

意法明年初量产第三代GNSS晶片 抢攻消费电子市场

在今年第三季意法退出ST-Ericsson经营后,公司预计明年初将量产应用于智慧型手机、平板和智慧手表的第三代GNSS晶片,抢攻消费性电子市场。
2013-01-31 10:34:25563

Surface Book 2\Pro 5曝明年初发布:铰链消除空隙、窄边框

Surface Book 2\Pro 5曝明年初发布:铰链消除空隙、窄边框
2016-08-11 08:26:42698

苹果新款MacBook Pro大量订单已发供应链厂商 已排到明年初

据外媒报道,据供应链最新报告称,据说苹果非常看好新款MacBook Pro的销售前景,它已经向供应链厂商发出大量订单,这些订单已经排到了今年年底和明年年初
2016-11-09 14:46:22615

# #冷战 张忠谋回母校演讲称:应避免冷战

行业资讯
深圳市浮思特科技有限公司发布于 2023-10-26 17:17:08

谷歌Waymo与菲亚特合作推出自动驾驶小型货车 明年初上路测试

版插电混动Pacifica小型货车的生产,这些车辆明年年初就将加入Waymo(本月13日刚刚从Alphabet分拆出来)测试车队。
2016-12-20 00:20:26407

Facebook加入智能音箱大军:15英寸触摸屏加持 明年初发布

社交网络巨头Facebook也在开发一款智能音箱,将配置15英寸大屏幕,明年初将发布。
2017-07-26 10:38:39517

基于由入门到精通吃透PID

基于由入门到精通吃透PID
2017-10-15 10:34:309

台积电:7纳米制程已大量生产,5纳米制程预计明年初风险性试产

晶圆代工厂台积电技术论坛今天登场,总裁暨副董事长魏哲家表示,7纳米制程已大量生产,5纳米制程预计明年初风险性试产,明年底或后年初大量生产。
2018-06-21 14:27:001462

5g手机真的要来了 三星Exynos5G预计明年初商用

早在2017年初高通发布了支持5G的X50基带,三星也表示自己的5G射频已研发成功在今年的CES2018上介绍了它的Exynos5G并预计在明年初商用,这与高通预计商用5G芯片的时间相同。全球多个运营商都宣布在今年底或明年商用,这显示出三星在5G芯片研发上基本与5G商用的时间同步。
2018-03-09 18:20:591871

SK海力士计划明年增产96层3D NAND闪存

SK海力士在清州建设M15工厂的建成仪式将于9月17日在清州举行。SK海力士计划通过从明年初开始增产96层3D NAND闪存的策略,来巩固其市场主导地位。
2018-09-07 16:59:043195

三星打孔OLED屏量产 用于明年新品Galaxy S10

三星显示已开始进行打孔OLED屏量产,明年初上市的Galaxy S10将首次搭载此款屏。
2018-11-27 09:02:164369

新款AirPods将于明年初发布你期待吗

多次准确给出苹果新品的的郭明錤现在给出的最新消息称,让大家苦等许久的第二代AirPods无线耳机,正在来的路上了。报告中的显示,第二代AirPods预计最快会在今年年底发布,不过苹果的意愿是在明年年初,至于这款产品来说,目前已经准备就绪。
2018-12-02 09:37:573063

三星电子准备明年初推出第二款可折叠设备,6.7英寸屏幕

在折叠手机上,韩国三星电子成为全世界第一个“吃螃蟹者”,其中三星首款折叠手机在上市时遭遇了一些不顺利。据外媒最新消息,三星电子正准备明年初推出第二款可折叠设备,这是一款可以折叠成一个紧凑四方形外观的豪华手机。
2019-09-04 09:45:202189

英特尔基于Gen 12架构的Xe DG1独显,预计将于明年初发布

国外硬件媒体Notebookcheck已经在其网站上列出了英特尔 Xe DG1独显,这是一款基于Gen 12架构的专用移动中低端显卡,预计将于明年初发布。
2019-10-17 15:02:382467

预计明年初苹果将推出iPhone SE2,将沿用LCP天线设计

著名分析师郭明錤最新报告指出,明年初苹果将推出iPhone SE2,主要来提升iPhone出货量。iPhone SE2将沿用LCP天线设计,有效提高传输效能。
2019-10-23 16:01:573086

Intel明年初将开始陆续扩大10nm+工艺到桌面及服务器市场

经过多次磨炼之后,Intel今年量产了10nm工艺,而且是第二代的10nm+工艺,目前有Ice Lake处理器,使用了全新的Sunny Cove微内核架构,现在主要用于移动处理器,明年初开始会陆续扩大到桌面、服务器市场。
2019-11-09 10:18:46577

三星Galaxy Fold销售范围将增加,明年有望超过50万部

据韩联社报道,三星首款折叠手机Galaxy Fold的销售范围明年初将大幅增加至60多个国家和地区。
2019-12-03 09:32:212164

百度和三星代工合作的人工智能加速处理器将于明年初量产

今日据悉,百度和三星宣布,百度首款云到边缘人工智能加速处理器百度昆仑已完成开发,将于明年初量产。
2019-12-18 14:19:152648

格兰仕在顺德总部宣布明年初将流片AI芯片

进军芯片业、建工业4.0基地、筹划要约收购惠而浦(600983.SH)……微波炉巨头格兰仕今年加快多元化扩张和科技转型的步伐。9月28日,格兰仕在顺德总部宣布明年初将流片AI芯片,其合作伙伴
2020-09-29 13:49:14826

7nm工艺延期 Intel关于是否外包表示:明年初会决定

上上个季度的财报会上,Intel宣布7nm工艺要延期,推迟半年到一年时间,意味着至少2022年才能见到了。新工艺延期,Intel还有个选择就是外包生产,CEO司睿博表态明年初会正式决定是否外包
2020-10-23 17:18:321413

英特尔将评估第三方生产和自家工厂生产芯片优劣,明年初作出决定

Swan表示,英特尔仍将评估第三方生产和自家工厂生产优劣,并将在今年底到明年初作出决定。
2020-10-24 10:20:161745

明年初有望迎来新一代锐龙5000U系列

锐龙4000U系列今年在轻薄本上大杀四方,明年初的CES 2021大展期间有望迎来新一代锐龙5000U系列,但这次比较特殊,会同时存在Zen3、Zen2两种架构,后者说白了就是锐龙4000U系列换个包装再来一次,可能会在频率方面小有变化。
2020-11-20 10:22:571517

韩网报告称,苹果计划明年初发布一款搭载min-LED屏幕的iPad Pro

韩国网站 The Elec 今天报告称,苹果计划明年初发布一款搭载 min-LED 屏幕的 iPad Pro。同时,苹果计划明年下半年发布首款搭载 OLED 屏幕的 iPad Pro。 三星和 LG
2020-11-24 10:35:231548

爆苹果明年年初发布iPad Pro,将搭载Mini LED

据外媒最新报道称,苹果计划明年初发布一款搭载mini-LED屏幕的 iPad Pro,同时,苹果计划明年下半年发布首款搭载OLED屏幕的iPad Pro。
2020-11-25 09:29:07452

AMD有望在明年初发布锐龙5000H系列游戏本处理器

AMD有望在明年初发布基于Zen3架构的锐龙5000U系列轻薄本、锐龙5000H系列游戏本处理器,至少前者会同时使用Zen3、Zen2两种架构。
2020-11-26 10:10:512459

苹果新iPad Pro明年年初发布,支持mmWave毫米波技术

据外媒最新消息称,明年年初苹果要推出全新的iPadPro,其将支持mmWave毫米波技术。
2020-11-27 09:30:311588

工信部将于明年初继续开展为期半年的 APP 侵害用户权益专项整治

全国 App 个人信息保护监管会上周在京召开。工业和信息化部党组成员、副部长刘烈宏出席会议并讲话。据《经济参考报》今日报道,针对 APP 违规收集个人信息、侵害用户权益等问题,工信部将于明年初继续
2020-11-30 10:48:161366

AMD明年将发布基于Zen3架构的下一代笔记本处理器

AMD有望在明年初发布基于Zen3架构的下一代笔记本处理器,包括轻薄本的锐龙5000U系列、游戏本的锐龙5000H系列。
2020-12-03 10:05:443213

LG计划最快在明年初将其在华电池产量翻番,旨在满足特斯拉需求

全球汽车快讯 据外媒报道,消息人士透露,LG化学计划最快在明年初将其在华电池产量翻番,该举措的目标非常明确,旨在满足特斯拉的电池需求,该公司还将继续为特斯拉的弗里蒙特及柏林工厂提供电池。LG化学
2020-12-08 10:30:241209

NVIDIA移动平台产品明年将登场

RTX 30系显卡已经发布了4款,明年初,移动平台产品将登场。而在这之后,NVIDIA有望继续更新桌面阵容,补完家族拼图。
2020-12-14 08:59:231309

微软Windows 10X将在明年开放使用

据外媒报道,经过一年的折腾和几个月的沉默,Windows 10X终于完成并准备“发布到生产厂商(RTM)”版本,这意味着它将在明年初进入新的笔记本电脑。
2020-12-17 09:40:39905

特斯拉 “全自动驾驶”订阅服务将在明年初到来

马斯克在推特上表示全自动驾驶(Full Self-Driving,FSD)系统的订阅服务将于明年初到来。FSD 目前仅有一次购入的选择,11 月初时把价格提高到 10000 美元,因此不少人都在期待
2020-12-21 17:16:081226

一加首款智能手表将于明年初发布

,刘作虎为我们提供了该智能手表的更多细节。 刘作虎在他的 Twitter 账户上确认,其首款智能手表将于明年年初发布,不过他并没有提到具体日期。并且目前我们还不知道这款手表会是什么样子,不过此前爆料人 Max Jambor 提供过一些信息。 根据该爆
2020-12-24 10:07:431795

苹果将于明年初推出新隐私功能

据外媒报道,苹果正在履行保护用户隐私的承诺,要求开发者请求用户允许权限。据悉,苹果新的隐私功能将于明年初推出,但目前该功能可能正在测试中,因为iOS 14.4系统测试版的一些用户已经开始看到权限弹出框。
2020-12-25 15:32:461332

一道比较有难度的完美矩形题

今天讲一道非常有意思,而且比较有难度的题目。 我们知道一个矩形有四个顶点,但是只要两个顶点的坐标就可以确定一个矩形了(比如左下角和右上角两个顶点坐标)。 来看看力扣第 391 题「完美矩形」,题目
2021-01-04 14:17:591897

苹果宣布推出自助维修计划 明年美国率先启动

苹果自助维修计划将在明年初在美国启动,2022年或更晚推广到其他国家。
2021-11-18 10:57:561326

三星计划在2023年初推出32Gb DDR5内存芯片

“32Gb DDR5 IC目前正在一个新的under-14nm工艺节点上开发,并计划在明年初推出,”三星 DRAM 规划部门的员工工程师 Aaron Choi 在 AMD 和三星网络研讨会上表示(见三星在下图中的演示)。“基于 32Gb 的 UDIMM 将于明年年底或 2024 年初上市。”
2022-08-22 10:21:191865

索尼虚拟现实设备PS VR2将于明年初上市

索尼虚拟现实设备PS VR2将于明年初上市? 据PlayStation中国官方微博发布的消息称,虚拟现实头戴设备“PlayStation VR2”将会在2023年初推出。 据悉
2022-08-24 19:48:01794

三星西安厂计划将NAND工艺升级为236层 明年初更换设备

据业界2日透露,三星电子计划对中国西安nand闪存工厂进行改造,将目前正在生产的128段(v6) nand闪存生产线扩大到236段(v8)。三星决定从明年初开始更换设备,并向业界通报了到2025年分阶段完成的目标。
2023-11-03 11:48:031140

外资对台积电提出八问,2024年全年营收展望成焦点

 自2024年初起,众多外资研究团队密集调研台积电,并与各自的客户频繁交流。大选结果基本符合预期,市场迅速聚焦台积电法说会,期望在会上透露积极正面的信息,推动台湾股市上扬。
2024-01-15 10:49:38349

已全部加载完成