电子发烧友App

硬声App

0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

电子发烧友网>可编程逻辑>FPGA/ASIC技术>分析管线式ADC延迟 - JESD204B与LVDS接口并行 管线式ADC延迟问题分析及解答

分析管线式ADC延迟 - JESD204B与LVDS接口并行 管线式ADC延迟问题分析及解答

上一页12全文

本文导航

收藏

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

评论

查看更多

相关推荐

宽带数据转换器应用的JESD204B与串行LVDS接口考量

开发串行接口业界标准JESD204A/JESD204B的目的在于解决以高效省钱的方式互连最新宽带数据转换器与其他系统IC的问题。
2021-11-01 11:24:165783

5G无线测试仪高通道数JESD204B时钟生成参考设计

JESD204B 同步时钟。此设计可提供多通道 JESD204B 时钟,采用 TI LMK04828 时钟抖动清除器和带有集成 VCO 的 LMX2594 宽带 PLL,能够实现低于 10ps 的时钟间偏差。此
2018-10-15 15:09:38

JESD204接口简介

。图3:第二(当前)版——JESD204BJESD204标准之前的两个版本中,没有确保通过接口的确定延迟相关的条款。JESD204B修订版通过提供一种机制,确保两个上电周期之间以及链路重新同步期间
2019-05-29 05:00:03

JESD204C的标准和新变化

的选项。完整的JESD204C规范可通过 JEDEC获得。  本入门文章由两部分组成,旨在介绍JESD204C标准,着重说明其与JESD204B的不同之处,并详细阐明为达成上述目标、提供对用户更友好的接口
2021-01-01 07:44:26

JESD204标准解析

第二(当前)次修订版– JESD204BJESD204标准之前的两个版本中,没有确保通过接口的确定延迟相关的条款。JESD204B修订版通过提供一种机制,确保两个上电周期之间以及链路重新同步期间
2019-06-17 05:00:08

JESD204B 串行链路的均衡器优化

`描述采用均衡技术可以有效地补偿数据转换器的 JESD204B 高速串行接口中的信道损耗。此参考设计采用了 ADC16DX370 双 16 位 370 MSPS 模数转换器 (ADC),该转换器利用
2015-05-11 10:40:44

JESD204B接口标准信息理解

E2E 上的该栏目下阅读了各种技术文章及其它博客文章,明白了为什么 JESD204BLVDS 和 CMOS 接口的后续产品。有一个没有深入讨论的主题就是解决 ADC 至 FPGA 和 FPGA
2018-09-13 14:21:49

JESD204B中的确定延迟到底是什么? 它是否就是转换器的总延迟

什么是8b/10b编码,为什么JESD204B接口需使用这种编码?怎么消除影响JESD204B链路传输的因素?JESD204B中的确定延迟到底是什么? 它是否就是转换器的总延迟JESD204B如何使用结束位?结束位存在的意义是什么?如何计算转换器的通道速率?什么是应用层,它能做什么?
2021-04-13 06:39:06

JESD204B串行接口时钟的优势

的数字接口绝大多数是差分LVDS接口,这就造成了布板的困难,当PCB 的密度很大的时候就需要增加板层从而造成印制板的成本上升。而JESD204B 接口是串行接口,能有效减少数据输出的差分对,能最大
2019-06-19 05:00:06

JESD204B串行数据链路接口问题

MS-2503: 消除影响JESD204B链路传输的因素
2019-09-20 08:31:46

JESD204B协议介绍

栏目下阅读了各种技术文章及其它博客文章,明白了为什么 JESD204BLVDS 和 CMOS 接口的后续产品。有一个没有深入讨论的主题就是解决 ADC 至 FPGA 和 FPGA 至 DAC 链
2022-11-21 07:02:17

JESD204B协议有什么特点?

在使用最新模数转换器 (ADC) 和数模转换器 (DAC) 设计系统时,我已知道了很多有关 JESD204B 接口标准的信息,这些器件使用该协议与 FPGA 通信。那么在解决 ADC 至 FPGA
2021-04-06 06:53:56

JESD204B是什么工作原理?控制字符是什么?

JESD204B的工作原理JESD204B的控制字符
2021-04-06 06:01:20

JESD204B生存指南

JESD204B生存指南
2019-05-28 12:08:12

JESD204B的优势

的是 JESD204B 接口将如何简化设计流程。与 LVDS 及 CMOS 接口相比,JESD204B 数据转换器串行接口标准可提供一些显著的优势,包括更简单的布局以及更少的引脚数。因此它能获得工程师
2022-11-23 06:35:43

JESD204B的常见疑问解答

送数据。在历代转换器中,低压差分信号(LVDS)和并行接 口允许对DAC或ADC的最低有效位(LSB)或最高有效位(MSB)进行简单探测/调试,检查函数转换器是否正在工作。使用JESD204B接口
2024-01-03 06:35:04

JESD204B的系统级优势

这种应用,您应该考虑 LVDS 接口,因此它没有在 JESD204B 上进行数据串行化的延迟。如欲了解有关 JESD204B 的更得意详情,敬请参考其它资源:深入了解 JESD204B 接口的演变及其
2018-09-18 11:29:29

JESD204B转换器的确定性延迟解密

到解帧器的接收器延迟。来自同一个系统中两个不同ADC的数据可能各自具有独特的确定性延迟。与简单的串行链路配置不同——比如低压差分信号 (LVDS)——JESD204B接口将数据样本打包为定义帧。几个
2018-10-15 10:40:45

JESD204B高速串行接口链路的均衡器优化参考设计包括原理图,物料清单及参考指南

in JESD204B high speed serial interfaces for data converters. This reference design features the ADC16DX370, a
2018-08-09 08:40:10

jesd204B调试经验有哪些?注意事项是什么?

jesd204B调试经验有哪些?注意事项是什么?
2021-06-21 06:05:50

jesd204b

我最近尝试用arria 10 soc实现与ad9680之间的jesd204B协议,看了很多资料,却依然感觉无从下手,不知道哪位大神设计过此协议,希望可以请教一番,在此先谢过。
2017-12-13 12:47:27

jesd204b ip核支持的线速率

因实际需求,本人想使用JESD204b的ip核接收ADC发送过来的数据,ADC发送的数据链路速率是15gbps, 厂家说属于204b标准。我看到jesd204b的ip核标准最大是12.5gbps,但是支持的支持高达16.375 Gb/s的非标准线速率。请问我可以使用这个IP核接收ADC的数据吗?
2020-08-12 09:36:39

AD9164 JESD204B接口的传输层是如何对I/Q数据进行映射的?

AD9164 JESD204B接口的传输层是如何对I/Q数据进行映射的
2023-12-04 07:27:34

FPGA高速数据采集设计之JESD204B接口应用场景

。现在各大厂商的高速ADC/DAC上基本都采用了这种接口,明德扬的大数据采集项目也是采用JESD204B接口。与LVDS及CMOS接口相比,JESD204B数据转换器串行接口标准可提供一些显着的优势
2019-12-04 10:11:26

FPGA高速数据采集设计之JESD204B接口应用场景

依旧采用传统的CMOS和LVDS已经很难满足设计要求,因此“JESD204B”应运而生。现在各大厂商的高速ADC/DAC上基本都采用了这种接口,明德扬的大数据采集项目也是采用JESD204B接口
2019-12-03 17:32:13

Kintex 7上的JESD204b标准中的ADC输出接口

嗨,我必须在Kintex 7上导入为Virtex 6开发的代码,以便将JESD204B标准中的ADC输出接口。我修改了代码和ucf文件,以便在演示板MC705上实现它。Synthesize
2020-05-21 14:22:21

ad9680 JESD204B接口rx_sync信号同步和失锁周期性出现怎么解决?

使用AD9680时遇到一个问题,AD9680采样率为1Gsps,JESD204B IP核的GTX参考时钟为250MHz,参数L=4,F=2,K=32,线速率为10Gbps,使用的为SYSREF
2023-12-12 08:03:49

ad9680 JESD204B接口同步信号RX_SYNC失锁 请问怎么解决?

使用AD9680时遇到一个问题,AD9680采样率为1Gsps,JESD204B IP核的GTX参考时钟为250MHz,参数L=4,F=2,K=32,线速率为10Gbps,使用的为SYSREF
2018-08-08 07:50:35

一文读懂JESD204B标准系统

JESD204B到底是什么呢?是什么导致了JESD204B标准的出现?什么是JESD204B标准?为什么关注JESD204B接口
2021-05-24 06:36:13

串行LVDSJESD204B的对比

LVDS的三倍多。当比较诸如多器件同步、确定延迟和谐波时钟等高级功能时,JESD204B是提供这些功能的唯一接口。所有通路和通道对确定延迟敏感、需要宽带宽多通道转换器的系统将无法有效使用LVDS并行
2019-05-29 05:00:04

JESD204B subclass1来讨论时钟的时序需要以及TI时钟芯片方案的实现

JESD204B采集卡项目综合上板后,可以使用上位机通过千兆网来配置AD9144和AD9516板卡,实现高速AD采集。最终可以在示波器和上位机上采集到设定频率的正弦波。本文重点介绍JESD204B
2019-12-17 11:25:21

关于JESD204B接口你想知道的都在这

关于JESD204B接口你想知道的都在这
2021-09-29 06:56:22

在Xilinx FPGA上快速实现JESD204B

取代连接转换器的传统并行LVDS/CMOS接口,并用来实现 JESD204B物理层。本文介绍如何快速在Xilinx® FPGA上实现JESD204B接口,并为FPGA设计人员提供部分应用和调试建议
2018-10-16 06:02:44

基于高速串行数字技术的JESD204B链路延时设计

描述JESD204B 链路是数据转换器数字接口的最新趋势。这些链路利用高速串行数字技术提供很大的益处(包括增大的信道密度)。此参考设计解决了其中一个采用新接口的挑战:理解并设计链路延迟。一个示例实现
2018-11-21 16:51:43

如何去实现JESD204B时钟?

JESD204B数模转换器的时钟规范是什么?JESD204B数模转换器有哪些优势?如何去实现JESD204B时钟?
2021-05-18 06:06:10

如何让JESD204B在FPGA上工作?FPGA对于JESD204B需要多少速度?

的模数转换器(ADC)和数模转换器(DAC)支持最新的JESD204B串行接口标准,出现了FPGA与这些模拟产品的最佳接口方式问题。FPGA一直支持千兆串行/解串(SERDES)收发器。然而在过去,大多数ADC
2021-04-06 09:46:23

如何采用系统参考模式设计JESD204B时钟

LMK04821系列器件为该话题提供了很好的范例研究素材,因为它们是高性能的双环路抖动清除器,可在具有器件和SYSREF时钟的子类1时钟方案里驱动多达七个JESD204B转换器或逻辑器件。图1是典型
2022-11-18 06:36:26

宽带数据转换器应用的JESD204B与串行LVDS接口考量

JESD204支持的每通道串行链路速率是LVDS的三倍以上。当比较诸如多器件同步、确定延迟和谐波时钟等高级功能时,JESD204B是提供这些功能的唯一接口。所有通路和通道对确定延迟敏感、需要宽带宽多通道转换器
2021-11-03 07:00:00

小说 JESD

JESD204b接口已经在国内好几年,但是几乎没有一篇文章和其实际应用相关。其实对于一个关于JESD204b接口ADC项目来讲一共大致有5个部分:ADC内核,ADCJESD接口,[color
2017-08-09 20:33:19

JESD204B输出的14位170Msps双通道ADC

DC1974A-C,LTC2122演示板,14位,170Msps双通道ADC,带JESD204B输出。演示电路1974A-C支持具有符合JESD204B标准的CML输出的LTC2122,14位双
2019-06-20 08:05:16

无法在Vivado 2013.4中为JESD204B v5.1生成比特流

嗨, 我尝试在Vivado 2013.4中构建我们的设计并构建Xilinx JESD204B设计示例,我收到以下错误:错误:[Common 17-69]命令失败:此设计包含不支持比特流生成的内核
2018-12-10 10:39:23

时序至关重要:怎么提高JESD204B时钟方案的性能

您好,欢迎再度光临“时序至关重要”博客系列。在一篇以前的文章中,Timothy T.曾谈到JESD204B接口标准(该标准越来越受欢迎,因为它能在高速数据采集系统里简化设计)的时钟要求。在本文
2018-09-06 15:10:52

构建JESD204B链路的步骤

在上篇博客《理解JESD204B协议》中,我对 JESD204B 协议中的三个状态进行了概括性的功能介绍。这三个状态对于在链路的 TX 和 RX 之间构建有效数据链路非常重要,它们是:代码组同步
2022-11-21 07:18:42

构建JESD204B链路的步骤

的 LMFS 配置和 PLL 设置。要在经过 JESD204B 协议的各个状态时检验信号,可使用 FPGA 厂商提供的信号分析工具。构建JESD204B 链路的第一步是RX 发信号通知TX 开始代码组同步
2018-09-13 09:55:26

测试JESD204无法正常工作

你好,我试图仅在测试模式下测试JESD204B v6.2:001:无限期地发送/接收/K28.5/但首先在desing块中有一个错误:[BD 41-967] AXI接口引脚/ jesd204
2019-04-19 13:06:30

请问JESD204B中的确定性延迟两次电源周期之间是怎么理解?

关于JESD204B中的确定性延迟说:“很大一部分都要求数据以两次电源周期之间已知且一致的延迟遍历整个系统。这一概念称为确定性延迟。怎么理解?两次电源周期之间是怎么理解?谢谢!
2019-01-07 13:56:23

通过同步多个JESD204B ADC实现发射器定位参考设计

探讨如何同步多个带 JESD204B 接口的模数转换器 (ADC) 以便确保从 ADC 采样的数据在相位上一致。主要特色同步 2 个采样频率为 3.072GHz 的千兆采样 ADC系统可扩展到超过 2
2018-07-13 06:47:51

通过同步多个JESD204B ADC实现发射器定位参考设计

探讨如何同步多个带JESD204B 接口的模数转换器 (ADC) 以便确保从 ADC 采样的数据在相位上一致。特性同步 2 个采样频率为 3.072GHz 的千兆采样 ADC系统可扩展到超过 2 个
2022-09-19 07:58:07

高通道数JESD204B菊链可扩展时钟解决方案

多通道 JESD204B 时钟,采用 TI LMK04828 时钟抖动清除器和带有集成 VCO 的 LMX2594 宽带 PLL,能够实现低于 10ps 的时钟间偏斜。此设计经过 TI
2018-12-28 11:54:19

采用高速ADCJESD204B链路延时设计

JESD204B 链路是数据转换器数字接口的最新趋势。这些链路利用高速串行数字技术提供很大的益处(包括增大的信道密度)。此参考设计解决了其中一个采用新接口的挑战:理解并设计链路延迟。一个示例实现
2017-02-08 04:28:02354

JESD204B SystemC module Deterministic Latency(四)

延迟JESD204A没有提供处理接口延迟的方法,而在JESD204B中提供了两种机制(Subclass 1、Subclass 2)去解决延迟不定的问题。 数据链延迟定义为:并行的数据帧放到TX
2017-02-08 10:39:10961

JESD204B协议概述

在使用我们的最新模数转换器 (ADC) 和数模转换器 (DAC) 设计系统时,我已知道了很多有关 JESD204B 接口标准的信息,这些器件使用该协议与 FPGA 通信。此外,我还在 E2E
2017-04-08 04:48:172131

在Xilinx FPGA上快速实现 JESD204B

简介 JESD204是一种连接数据转换器(ADC和DAC)和逻辑器件的高速串行接口,该标准的 B 修订版支持高达 12.5 Gbps串行数据速率,并可确保 JESD204 链路具有可重复的确定性延迟
2017-04-12 10:22:1114645

基于NI PXI模块化测试平台对采用JESD204B协议进行测试

什么是JESDJESD204B是一种连接数据转换器(ADC和DAC)和逻辑器件的高速串行接口,支持高达12.5 Gbps串行数据速率,并可确保JESD204 链路具有可重复的确定性延迟。在这里
2017-11-15 20:06:011575

通过ADC来详细了解JESD204B规范的各层

随着高速ADC跨入GSPS范围,与FPGA(定制ASIC)进行数据传输的首选接口协议是JESD204B。为了捕捉频率范围更高的RF频谱,需要宽带RF ADC。在其推动下,对于能够捕捉更宽带宽并支持
2017-11-16 18:48:169537

JESD204B SystemC module 设计简介(一)

本设计致力于用SystemC语言建立JESD024B的协议标准模型,描述JESD204B的所有行为,并且能够保证用户可以通过该JESD204B的SystemC库,进行JESD204B行为的仿真
2017-11-17 09:36:563002

如何在Xilinx FPGA上快速实现JESD204B?操作步骤详细说明

转换器的速度和分辨率不断提升,JESD204B接口在ADI高速转换器和集成RF收发器中也变得更为常见。此外,FPGA和ASIC中灵活的串行器/解串器(SERDES)设计正逐步取代连接转换器的传统并行LVDS/CMOS接口,并用来实现 JESD204B物理层。
2017-11-17 14:44:166596

JESD204B标准及演进历程

在从事高速数据撷取设计时使用FPGA的人大概都听过新JEDEC标准「JESD204B」的名号。近期许多工程师均联络德州仪器,希望进一步了解 JESD204B 接口,包括与FPGA如何互动、JESD204B如何让他们的设计更容易执行等。本文介绍 JESD204B标准演进,以及对系统设计工程师有何影响。
2017-11-18 02:57:0113942

JESD204B接口及协议状态过程

在使用我们的最新模数转换器(ADC)和数模转换器(DAC)设计系统时,我已知道了很多有关 JESD204B接口标准的信息,这些器件使用该协议与FPGA 通信。
2017-11-18 04:10:552945

FPGA 的高速数据采集设计之JESD204B部分详解

的是 JESD204B 接口将如何简化设计流程。 与 LVDS 及 CMOS 接口相比,JESD204B 数据转换器串行接口标准可提供一些显著的优势,包括更简单的布局以及更少的引脚数。因此它能获得工程师的青睐和关注也就不足为奇了,它具备如下系统级优势: 更小的封装尺寸与更低的封装成本。
2017-11-18 08:36:013155

针对高速数据转换器的最新高速JESD204B标准带来了验证挑战

JESD204B是最新的12.5 Gb/s高速、高分辨率数据转换器串行接口标准。转换器制造商的相关产品已进入市场,并且支持JESD204B标准的产品预计会在不久的将来大量面世。JESD204B接口
2017-11-18 18:57:162789

简述Arria10接口JESD204B的与ADI9144性能

Arria10接口JESD204B与ADI9144的互操作性
2018-06-20 00:06:004053

JESD204B子类(第二部分):子类1与子类2系统考虑因素

在“JESD204B子类(第一部分):JESD204B子类简介与确定性延迟”一文中,我们总结了JESD204B子类和确定性延迟,并给出了子类0系统中多芯片同步的应用层解决方案详情。
2019-04-15 16:25:013610

JESD204B接口标准中的眼图测量

该视频将为观众介绍JESD204B接口中的眼图测量。
2019-08-01 06:19:003157

JESD204B接口标准如何用于ADC到FPGA设计中

ADI和Xilinx的专家解释了JESD204B接口标准的重要性,并说明了该标准如何用于ADC到FPGA设计中。
2019-08-01 06:15:002596

JESD204B接口中的眼图测量方法

该视频将为观众介绍JESD204B接口中的眼图测量。
2019-08-19 06:06:004377

什么是JESD204B标准为什么需要关注JESD204B接口

但是,随着模数转换器(ADC) 的发展,其要求更快的采样速率和更高的通道密度,行业要求使用比并行LVDS 更快速、功效更高的数字接口。为了克服这个挑战,2006 年4月,JEDEC制订并批准了一种
2019-05-13 09:16:4212564

悄悄告诉你们如何使用JESD204B同步多个ADC

)和并行输出ADC的需要,延迟不一致的问题对系统设计人员而言历来是一个难题。 JESD204B提供了一个方法通过一个或多个差分信号发送高速串行数据,比如发送ADC的输出。JESD204B规范本身具有实现通道间粗调对齐的功能。数据分割为帧,并持续发送至
2021-03-25 14:49:555629

LTC2122:带JESD204B串行输出的双14位170 Msps ADC数据表

LTC2122:带JESD204B串行输出的双14位170 Msps ADC数据表
2021-05-09 21:06:0211

JESD204B串行接口的14位250 Msps ADC系列

JESD204B串行接口的14位250 Msps ADC系列
2021-05-18 15:04:507

LTC2123:带JESD204B串行输出的双14位250 Msps ADC数据表

LTC2123:带JESD204B串行输出的双14位250 Msps ADC数据表
2021-05-24 08:01:598

JESD204B是否真的适合你

它如何同 FPGA 协作。他们特别感兴趣的是 JESD204B 接口将如何简化设计流程。 与 LVDS 及 CMOS 接口相比,JESD204B 数据转换器串行接口标准可提供一些显著的优势,包括更简单
2021-11-10 09:43:33528

JESD204B标准的ADC与FPGA的接口

与现有接口格式和协议相比,JESD204B接口更复杂、更微妙,必须克服一些困难才能实现其优势。像其他标准一样,要使该接口比单倍数据速率或双倍数据速率CMOS/LVDS等常用接口更受欢迎,它必须能无缝地工作。
2022-04-21 14:28:074181

JESD204B协议相关介绍与具体应用实例

越来越大,对于500MSPS以上的ADC/DAC,动辄就是几十个G的数据吞吐率,如果依旧采用传统的CMOS和LVDS已经很难满足设计要求,因此“JESD204B”应运而生。现在各大厂商的高速ADC/DAC上基本都采用了这种接口
2022-07-04 09:21:583448

宽带数据转换器应用的JESD204B与串行LVDS接口考量

本文余下篇幅将探讨推动该规范发展的某些关键的终端系统应用,以及串行低压差分信号(LVDS)和JESD204B的对比。
2022-08-01 09:34:511062

在串行LVDSJESD204B接口之间选择

本文余下篇幅将探讨推动该规范发展的某些关键的终端系统应用,以及串行低压差分信号(LVDS)和JESD204B的对比。
2022-08-05 14:18:001105

如何构建您的JESD204B 链路

如何构建您的JESD204B 链路
2022-11-04 09:52:113

理解JESD204B协议

理解JESD204B协议
2022-11-04 09:52:123

JESD204B:适合您吗?

JESD204B:适合您吗?
2022-11-07 08:07:230

JESD204B学习手册

JESD204B接口一般用在高速的AD和DA芯片上,用于传输采集到的数据。该接口相比LVDS可以减少大量的IO管脚,所以正在逐步取代LVDS接口(引用wp446-jesd204b.pdf)。
2022-12-22 09:45:181774

在赛灵思FPGA上快速实现JESD204B

JESD204是一款高速串行接口,用于将数据转换器(ADC和DAC)连接到逻辑器件。该标准的修订版B支持高达12.5 Gbps的串行数据速率,并确保JESD204链路上的可重复确定性延迟。随着转换器速度和分辨率的不断提高,JESD204B接口在ADI公司的高速转换器和集成RF收发器中变得越来越普遍。
2023-01-09 16:41:382969

JESD204B是FPGA中的新流行语吗

JESD204B规范是JEDEC标准发布的较新版本,适用于数据转换器和逻辑器件。如果您正在使用FPGA进行高速数据采集设计,您会听到新的流行词“JESD204B”。与LVDS和CMOS接口相比,这一较新的版本具有显著的优势,因为它包括更简单的布局和更少的引脚数。
2023-05-26 14:49:31361

JESD204B链路中断时的基本调试技巧

本文旨在提供发生 JESD204B 链路中断情况下的调试技巧简介
2023-07-10 16:32:03802

AD9207: 12-Bit, 6 GSPS, JESD204B/JESD204C Dual ADC Data Sheet AD9207: 12-Bit, 6 GSPS, JESD204B/JESD204C Dual ADC Data Sheet

电子发烧友网为你提供ADI(ADI)AD9207: 12-Bit, 6 GSPS, JESD204B/JESD204C Dual ADC Data Sheet相关产品参数、数据手册,更有AD9207
2023-10-16 19:02:55

已全部加载完成