电子发烧友App

硬声App

0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

电子发烧友网>制造/封装>智原科技宣布控制器IP已于联电28纳米HPC U 工艺通过验证

智原科技宣布控制器IP已于联电28纳米HPC U 工艺通过验证

收藏

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

评论

查看更多

相关推荐

采用TSMC 28HPC / HPC + 工艺的Synopsys逻辑库和领先的EDA工具完美解决系统级芯片(SoC)设计

台湾积体电路制造公司(简称为台积电(TSMC))最近宣布了其第四个28nm工艺进入了量产 - 28HPC Plus(即28HPC +)。台积电(TSMC)的前两项28nm工艺(聚氮氧化硅28
2017-11-01 06:04:0023778

高通将推出28纳米工艺Krait系芯片

高通执行副总裁史蒂芬·莫林科夫(Steven Mollenkopf)终于证实,公司旗下首款采用28纳米制造工艺的产品将于今年年底到来!其实早前业内就已经有消息放出,暗示高通将于今年晚些时候才发
2011-07-23 09:14:133258

Cadence首个DDR4 Design IP解决方案在28纳米级芯片上得到验证

Cadence宣布业内首个DDR4 Design IP解决方案在28纳米级芯片上得到验证
2012-09-10 09:53:241403

新思科技28纳米DesignWare IP赢得第100项设计

新思科技公司(Synopsys, Inc., 纳斯达克股票市场代码:SNPS)日前宣布: 该公司针对多家领先的晶圆代工厂优化的28纳米工艺DesignWare IP已赢得第100项设计。
2012-09-20 10:11:401139

赛灵思(Xilinx)宣布新一代20纳米工艺技术

电子发烧友网讯: 在28纳米产品和新平开发软件平台Vivado发布后,赛灵思并没有停止新工艺开发的脚步。日前赛灵思宣布了其20纳米的产品规划。 赛灵思全球高级副总裁、亚太区执行总
2012-11-14 10:43:401136

中芯国际28纳米工艺制程 开启手机芯片制造新纪元

采用其28纳米工艺制程的 Qualcomm®骁龙™410处理器已成功应用于主流智能手机,这是28纳米核心芯片实现商业化应用的重要一步,开启了先进手机芯片制造落地中国的新纪元。
2015-08-11 07:54:462718

95纳米eNVM工艺安徽平台制胜8位MCU大时代市场怎么样

全球领先的200mm纯晶圆代工厂——华虹半导体有限公司(“华虹半导体”或“公司”,连同其附属公司,统称“集团”,股份代号:1347.HK)今天宣布,公司针对8位微控制器
2017-08-31 10:25:23

纳米软件案例之精密运动控制器程控软件

`项目背景随着电机行业的飞速发展,电机测试项目越来越多,电机行业当前需要对电机与驱动进行完整的测试与性能分析,可是传统的测功机是无法做到的,西安一所高校找到纳米软件,希望通过控制精密运动控制器实现
2021-01-12 14:02:12

ADC与DAC工艺节点案例分析

工艺节点中设计,但是 FD-SOI 技术提供最低的功率,同时可以承受辐射效应。与体 CMOS 工艺相比,28 纳米 FD-SOI 芯片的功耗将降低 70%。射频数据转换需要同时具有高带宽和低功耗,以
2023-02-07 14:11:25

DDR3存储接口控制器IP助力数据处理应用

IP核可以使设计师将精力集中在其设计的独特特性上,从而向最终客户交付高价值的产品设计。例如,LatticeECP3 DDR3存储控制器IP核已经通过了一个第三方验证套件的验证。该IP
2019-05-24 05:00:34

GD32E5高性能微控制器

GD32E5高性能微控制器,采用台积低功耗40纳米(40nm)嵌入式闪存工艺构建,具备业界领先的处理能力、功耗效率、连接特性和经济的开发成本。推动嵌入式开发向高精度工业控制领域扩展,解决数字电源
2021-12-16 08:13:14

《炬丰科技-半导体工艺》GaN 纳米线制造和单光子发射器件应用的蚀刻工艺

`书籍:《炬丰科技-半导体工艺》文章:GaN 纳米线制造和单光子发射器件应用的蚀刻工艺编号:JFSJ-21-045作者:炬丰科技网址:http://www.wetsemi.com
2021-07-08 13:11:24

什么是纳米

什么是纳米?为什么制程更小更节能?为何制程工艺的飞跃几乎都是每2年一次?
2021-02-01 07:54:00

使用UMC 28HPC工艺进行MC仿真有什么问题吗?

最近在使用UMC 28HPC工艺进行MC仿真,发现两个问题:1. MC仿真结果的std(标准差)和实测结果不match,实测结果大概为仿真结果的3倍。查看了一下U28HPC的model file
2021-06-25 06:40:16

基于SOPC的触控屏控制器IP核设计

这类文章中很少见对控制器各个模块进行仿真验证内容。  文中提出一种针对TFT-LCD 触控屏控制器IP 核的设计方法。该控制器具有Avalon 总线接口,与其他标准IP 核一起构成以NiosⅡ为核心
2018-11-07 15:59:27

基于VHDL语言的IP验证

RISC 结构之处理模块、内存控制器模块以及视频控制接口模块.然后通过Xilinx ISE 4.1/5.2、ModelSim完成行为级仿真、综合以及布局布线等。(2)以某个应用为例将C语言或汇编语言编写
2021-09-01 19:32:45

好品质TEC控制器温度控制器 TEC28V15A

制冷片/模块来实现对目标物体的精确控温。  鞍山恒光科技有限公司研发新产品TEC控制器温度控制器 TEC28V15A,这款TEC28V15A温度控制器,专为高效驱动TEC而设计,利用调节通过TEC
2020-11-12 11:03:25

如何利用赛灵思28纳米工艺加速平台开发?

一半,而性能提高两倍。通过选择一个高性能低功耗的工艺技术,一个覆盖所有产品系列的、统一的、可扩展的架构,以及创新的工具,赛灵思将最大限度地发挥 28 纳米技术的价值, 为客户提供具备 ASIC 级功能
2019-08-09 07:27:00

如何配置u*** c和控制器

你好!我试图通过u*** c电缆将北欧nrf52 mcu与stu***1602配对,但我希望我们即将推出的产品上的固件升级也要通过u*** 2协议,我也不知道如何配置u*** c和控制器希望得到一些
2019-02-14 14:05:48

是否有JTAG控制器IP

我想通过JTAG在我的设计中内部访问寄存。1)如何挂钩fpga JTAG链?2)是否有JTAG控制器IP?我看了,没看到一个。谢谢,弗雷德
2020-05-29 06:13:24

汽车控制器的CAN唤醒和硬线唤醒比较?

控制器有两种唤醒方式,一个是硬线唤醒,通过IGN线实现对控制器的上;另一种是通过接收CAN信号来实现对控制器。请问这两种方式的优劣?不胜感激!
2018-10-11 14:43:32

独立以太网控制器ENC28J60电子资料

概述:ENC28J60是一款带SPI接口的独立以太网控制器,兼容IEEE 802.3,集成MAC和10 BASE-T PHY,最高速度可达10Mb/s。ENC28J60采用28脚封装工艺
2021-04-12 06:36:28

祸不单行!单方面宣布暂停与晋华合作

企业必须持有特定许可证,才能向晋华出口零件、软件、技术产品和服务,美国商务部希望通过出口禁令,限制晋华威胁美军系统重要零件供应商的能力。2016年5月,公布与福建晋华的合作协议,受其委托开发DRAM技术
2018-11-01 10:01:04

网线连接控制器ip地址查询

pc和一款控制器通过网线连接,arp-a命令查询不到局域网内有控制器ip,请问有没有什么方法可以查询到控制器ip地址?
2021-06-18 10:53:37

赛灵思高性能40nm Virtex-6 FPGA系列通过全生产验证

【来源】:《电子设计工程》2010年02期【摘要】:<正>赛灵思公司与联华电子共同宣布,采用联华电子高性能40nm工艺的Virtex-6FPGA,已经完全通过生产前的验证
2010-04-24 09:06:05

锐成芯微宣布在22nm工艺上推出双模蓝牙射频IP

2023年1月13日,知名物理IP提供商 锐成芯微(Actt) 宣布在22nm工艺上推出双模蓝牙射频IP。近年来,随着蓝牙芯片各类应用对功耗、灵敏度、计算性能、协议支持、成本的要求越来越高,22nm
2023-02-15 17:09:56

阿里云E-HPC联合安世亚太、科集团共建云超算生态

E-HPC服务也邀请到目前的两家重量级合作伙伴安世亚太和科集团,参与技术探讨并发布了他们基于阿里云的高性能计算行业产品。云栖大会·武汉峰会上,安世亚太宣布基于阿里云高性能计算能力推出国内首个高性能
2018-05-28 18:36:06

USB设备控制器IP Core 的设计与实现

本文介绍一款USB 设备控制器IP CORE 的设计与实现。论文首先介绍了USB 设备控制器的设计原理,模块划分及每个模块的功能。然后介绍了该IP CORE 在ModelsimSE 中的功能仿真及FPGA 验证
2009-08-06 11:39:008

IIC总线控制器IP核设计

本文详述了一种基于AMBA总线接口的IIC总线控制器IP核设计,给出了该IP核的系统结构以及各个子模块的详细设计方法,并对该IP核进行了功能仿真、FPGA原型验证,可测性设计以
2010-07-17 16:20:2221

智原科技的USB3.0控制芯片加速进程

智原科技的USB3.0控制芯片加速进程 Faraday Solution, Your Fastrack to USB3.0! Equipped with largest IP portfolio, experienced and r
2009-05-22 12:54:59803

微捷码推出28纳米28纳米以下IP特征表征新标准

微捷码推出28纳米28纳米以下IP特征表征新标准  微捷码(Magma®)设计自动化有限公司(纳斯达克代码:LAVA)日前宣布推出业界标准SiliconSmart产品线新产品——
2009-12-18 09:51:50907

高通携手TSMC,继续28纳米工艺上合作

高通携手TSMC,继续28纳米工艺上合作 高通公司(Qualcomm Incorporated)与其专业集成电路制造服务伙伴-TSMC前不久日共同宣布,双方正在28纳米工艺技术进行密切合作。此
2010-01-13 08:59:23910

台积电与联电大客户赛灵思合作28纳米产品

台积电与联电大客户赛灵思合作28纳米产品 外电引用分析师资讯指出,联电大客户赛灵思(Xilinx)3月可能宣布与台积电展开28纳米制程合作;台积电28纳米已确定取得富
2010-01-19 15:59:551058

赛灵思宣布采用 28 纳米工艺加速平台开发

赛灵思宣布采用 28 纳米工艺加速平台开发  全球可编程逻辑解决方案领导厂商赛灵思公司 (Xilinx Inc.  ) 今天宣布,为推进可编程势在必行之必然趋势,正对系统工
2010-02-23 11:16:21382

统一工艺和架构,赛灵思28纳米FPGA成就高性能和低功耗的完

统一工艺和架构,赛灵思28纳米FPGA成就高性能和低功耗的完美融合 赛灵思公司(Xilinx)近日宣布,为推进可编程势在必行之必然趋势,正对系统工程师在全球发布赛灵思
2010-03-02 08:48:51576

新思科技与中芯国际合作推出用于中芯65纳米低漏电工艺技术的、

新思科技与中芯国际合作推出用于中芯65纳米低漏电工艺技术的、获得USB标志认证的DesignWareUSB 2.0 nanoPHY 通过芯片验证的DesignWare PHY IP
2010-05-20 17:39:09588

高通首款基于28纳米工艺的Snapdragon芯片组MSM8

  近期,高通公司宣布将推出首款基于28纳米工艺的Snapdragon芯片组MSM8960并宣布此芯片组将于2011财年开始出样。基于28纳米工艺的该芯片组采用新的CPU内核为特征,主要针对高端
2010-11-24 09:19:571471

微捷码32/28纳米低功耗工艺层次化参考流程

微捷码(Magma®)设计自动化有限公司日前宣布,一款经过验证的支持Common Platform™联盟32/28纳米低功耗工艺技术的层次化RTL-to-GDSII参考流程正式面市。
2011-01-26 09:44:09894

Synopsys宣布提供经中芯国际65nm低漏电工艺芯片验证的DesignWare数据转换器IP

新思科技有限公司日前宣布:该公司所提供经芯片生产验证的DesignWareTM数据转换器IP,已被应用于中芯国际广受欢迎的65纳米低漏电(Low Leakage)工艺技术
2011-04-21 09:57:061043

微捷码QCP提取器通过台积电28纳米设计质量检验

微捷码QCP提取器已被台积电(TSMC)纳入其季度28纳米集成电路(IC)EDA质量检验报告中。这次质量检验让设计师们对采用QCP解决台积电28纳米工艺IC日益提高的复杂性问题更有信心。
2011-07-15 08:39:06877

微捷码Quartz DRC物理验证通过GF 28nm验证

微捷码Quartz DRC物理验证解决方案通过了质量检验,可支持GLOBALFOUNDRIES的28纳米28纳米以下技术DRC+流程,紧密集成的Quartz DRC和Talus RTL-to-GDSII解决方案让GLOBALFOUNDRIES的DFM流程实现了自动化
2011-09-08 09:47:221050

ARM与联电拓展长期IP合作伙伴关系至28纳米

ARM公司与全球领先的半导体晶圆代工商联电近日共同宣布达成长期合作协议,将为联电的客户提供已经通过联电28HPM工艺技术验证的ARM Artisan物理IP解决方案。这项最新的28纳米工艺技术的
2011-10-13 09:32:44631

苹果合作伙伴台积电TSMC加速量产28纳米芯片

台积电TSMC已经准备量产28纳米工艺的ARM处理器了。TSMC在2011年第四季度开始从28纳米芯片获得营收,目前28纳米工艺芯片占有公司总营收的额5%。在今年晚些时候,TSMC将加速28纳米芯片的生
2012-04-18 10:22:37830

基于SOPC的触控屏控制器IP核设计

介绍一款基于SOPC的TFT-LCD触控屏控制器IP核的设计与实现。采用Verilog HDL作控制器的模块设计,并用ModelSim仿真测试,验证其正确性;利用嵌入式SOPC开发工具,在开发板上完成触控屏显示
2012-08-13 17:22:1852

联华电子与SuVolta宣布联合开发28纳米低功耗工艺技术

日前,联华电子与SuVolta公司宣布联合开发28纳米工艺技术,该工艺将SuVolta的SuVolta的Deeply Depleted Channel晶体管技术集成到联华电子的28纳米High-K/Metal Gate高效能移动工艺
2013-07-25 10:10:521049

无MCU的USB2.0设备控制器IP设计与验证

无MCU的USB2.0设备控制器IP设计与验证
2013-09-23 17:18:1740

基于FPGA的SD卡控制器IP

基于FPGA的SD卡控制器IP,以验证可用。
2015-11-06 09:50:5010

ARM与联华电子达成最新的28HPC POP工艺合作,扩大28纳米IP领先地位

  2016年2月5日,北京讯——ARM 宣布,从即日起全球晶圆专工领导者联华电子(UMC)的28纳米28HPCU工艺可采用ARM® Artisan® 物理IP平台和ARM POP™ IP
2016-02-15 11:17:49896

Arasan推出支持TSMC 28纳米HPC工艺的DPHY IP

“我们利用自己在DPHY领域超过8年的经验,来为DPHY开发了一种全新的、正在申请专利的架构。它将确保我们的客户可以得到的是在功耗、面积和可靠性方面处于行业领先水平的DPHY IP 内核。”Arasan模拟IP架构师Sridhar Shashidharan说道。
2016-06-02 14:41:261414

瑞萨电子与台积电合作开发支持新一代环保汽车与自动驾驶汽车的28纳米控制器

瑞萨电子(TSE:6723瑞萨)与台积电(TWSE:2330、NYSE:TSM)今日共同宣布,双方合作开发28纳米嵌入式闪存(eFlash)制程技术,以生产支持新一代环保汽车与自动驾驶汽车的微控制器(MCU)。
2016-09-01 15:09:35512

DDR2SDRAM控制器IP功能测试与FPGA验证_陈平

DDR2SDRAM控制器IP功能测试与FPGA验证_陈平
2017-01-07 21:45:573

华虹半导体与晟矽微电联合宣布:基于95纳米OTP工艺平台的首颗MCU开发成功

晟矽微电子股份有限公司(“晟矽微电”,股份代号:430276)今日联合宣布,基于95纳米单绝缘栅一次性编程MCU(95纳米CE 5V OTP MCU)工艺平台开发的首颗微控制器(Microcontroller Unit, MCU)(产品型号MC30P6230)已成功验证,即将导入量产。
2017-11-03 10:37:249818

智原科技PCI Express 3.0 PHY IP涵盖至28奈米

继40奈米方案顺利量产,并与通信应用领先客户共同取得市场成功之后,预计此28奈米方案的推出,有助于扩展更多元的应用,并将进一步提升现有应用的规格。智原 28HPC U 的 PCIe 3.0 PHY 预计于2016年第4季完成硅验证
2018-03-24 09:35:00938

智原科技与联华电子共同发表55纳米低功耗工艺(55ULP)的PowerSlash基础IP方案

于联电55纳米超低功耗工艺(55ULP)的 PowerSlash 基础IP方案。智原 PowerSlash 与联电工艺技术相互结合设计,为超低功耗的无线应用需求技术进行优化,满足无线物联网产品的电池长期寿命需求。 智原科技营销暨投资副总于德洵表示:物联网应用建构过程中,效能往往受制于低功耗技术。
2018-03-05 15:08:005142

联芯28纳米HKMG试产良率达98% 国内最先进的28纳米晶圆工艺

位于厦门火炬高新区的联芯集成电路制造(厦门)有限公司日前传来喜讯,已于今年2月成功试产采用28纳米High-K/Metal Gate 工艺制程的客户产品,试产良率高达 98%。这是该公司28纳米
2018-03-31 15:28:5011192

TEC控制器 温度控制器 TEC28V15A

TEC控制器 温度控制器 TEC28V15A  这款TEC28V15A温度控制器,专为高效驱动TEC而设计,利用调节通过TEC的电流的方向以及大小来精确的控制目标物体的温度。它通过一个9V至28
2018-09-25 09:56:16759

华力28纳米低功耗工艺平台芯片进入量产阶段

近日,华虹集团旗下中国领先的12英寸晶圆代工企业上海华力与全球IC设计领导厂商---联发科技股份有限公司(以下简称“联发科技”)共同宣布,在两家公司的互相信任及持续努力下,近日双方合作成果之一---基于上海华力28纳米低功耗工艺平台的一颗无线通讯数据处理芯片成功进入量产阶段。
2018-12-12 15:15:012029

上海华力28纳米低功耗工艺进入量产

华虹集团旗下中国领先的12英寸晶圆代工企业上海华力与全球IC设计领导厂商---联发科技股份有限公司(以下简称“联发科技”)共同宣布,在两家公司的互相信任及持续努力下,近日双方合作成果之一---基于上海华力28纳米低功耗工艺平台的一颗无线通讯数据处理芯片成功进入量产阶段。
2019-01-01 15:13:003780

基于上海华力28纳米低功耗工艺平台的芯片进入量产

华虹集团旗下上海华力与联发科技股份有限公司共同宣布,在两家公司的互相信任及持续努力下,近日双方合作成果之一——基于上海华力28纳米低功耗工艺平台的一颗无线通讯数据处理芯片成功进入量产阶段。
2019-01-07 14:15:453224

瑞萨电子发布了业界第一款使用28nm工艺的集成闪存微控制器

继于2015年2月28nm嵌入式闪存的工艺开发公布后,瑞萨电子于2016年9月宣布与台积电合作生产28nm MCU。今日向市场推出全球第一款28nm嵌入式闪存MCU,将成为瑞萨电子的另一个重要里程碑。瑞萨电子已经验证了在16/14nm及下一代MCU产品上应用鳍状MONOS闪存技术。
2019-08-02 10:25:032715

智原科技28/40纳米单芯片ASIC设计量三年倍增

28纳米与40纳米为目前半导体市场上的主流工艺,无论是IP、光罩与晶圆等技术均趋于稳定成熟,成本大幅低于FinFET工艺
2019-09-19 14:43:291446

联电与智原科技宣布推出22ULP/ULL基础元件IP解决方案 以满足新一代的SoC设计需求

晶圆代工大厂联电与台湾地区知识产权大厂智原科技于18日宣布,推出基于联电22纳米超低功耗(ULP)与22纳米超低漏电(ULL)制程的基础元件IP解决方案。该22ULP/ULL基础元件IP已成功通过
2019-11-19 16:08:113285

瑞萨与台积电将合作开发28nm纳米嵌入式闪存制程技术

瑞萨电子与台积电共同宣布,双方合作开发28纳米嵌入式闪存(eFlash)制程技术,以生产支持新一代环保汽车与自动驾驶汽车的微控制器(MCU)。
2019-11-29 11:13:162164

Arasan宣布用于台积公司22nm工艺技术的eMMC PHY IP立即可用

中的eMMC PHY IP可与Arasan的eMMC 5.1主机控制器IP和软件无缝集成,从而为客户提供基于台积公司22nm工艺的完整eMMC IP解决方案。 Arasan凭借其D-PHY v1.1 IP @1.5ghz、D-PHY v1.2 IP @
2021-01-21 10:18:232385

ASIC设计厂商智原推出基于联电28与40纳米工艺的完整成像与显示高速接口IP

ASIC设计服务暨IP研发销售厂商智原科技(Faraday Technology Corporation,TWSE:3035)推出基于联电40LP与28HPC/HPC+工艺节点的完整成像与显示高速
2021-01-29 12:32:003863

瑞萨电子扩展28纳米跨域汽车微控制器阵容

28纳米RH850/U2B专为区和域应用而设计,在瑞萨用于动力总成的RH850/E2x系列和用于HEV/EV电机控制的RH850/C1M-Ax系列的关键功能基础上,增加了全新加速器IP、提升了性能和安全性。
2021-11-09 16:34:131057

智原科技续推Gigabit以太网络IP 聚焦网通应用ASIC

28HPC+工艺完成硅验证,可提供ASIC设计服务或IP授权使用。这个解决方案拥有低功耗、高效能的特性,搭配智原网通相关的IP解决方案,可协助客户更有效率地开发工业级网络交换器、住宅网关(Home
2022-05-12 16:23:512677

智原发布FPGA-Go-ASIC验证平台 协助客户加速进行电路设计与系统验证

ASIC设计服务暨IP研发销售厂商智原科技(Faraday Technology Corporation,TWSE:3035)今日发布FPGA-Go-ASIC验证平台。
2022-07-29 10:08:16784

智原宣布支持三星14纳米LPP工艺IP硅智财并已上架

ASIC设计服务暨IP研发销售厂商智原科技(Faraday Technology Corporation,TWSE:3035)今日宣布其支持三星14纳米LPP工艺IP硅智财已在三星SAFE IP平台上架,提供三星晶圆厂客户采用。
2022-10-14 17:39:021000

智原科技推出支援多家晶圆厂FinFET工艺的芯片后端设计服务

ASIC设计服务暨IP研发销售厂商智原科技(Faraday Technology Corporation,TWSE: 3035)今日推出支援多家晶圆厂FinFET工艺的芯片后端设计服务(design implementation service),由客户指定制程(8纳米、7纳米、5纳米及更先进工艺)及生产的晶圆厂。
2022-10-25 11:52:17724

三星电子5纳米工艺由安霸应用于全新汽车Al域控制器芯片

CV3-AD685是安霸CV3-AD汽车AI域控制器系列的首个量产型号,同时,多家一级(Tier-1)汽车供应商宣布他们将提供使用CV3-AD系列SoC的解决方案。三星第三代5纳米车规工艺,针对车规级半导体优化,凭借极其严格的工艺管控和先进知识产权(IP),拥有卓越的可靠性和可追溯性。
2023-03-01 11:05:47603

IP 数据表: 1.8V Standard Cell for TSMC 28nm HPC+

IP 数据表: 1.8V Standard Cell for TSMC 28nm HPC+
2023-03-14 19:21:550

IP_数据表(I-5):SerDes PHY for TSMC 28nm HPC+

IP_数据表(I-5):SerDes PHY for TSMC 28nm HPC+
2023-03-16 19:25:461

IP_数据表(Z-4):1.8V StndardCell for TSMC 28nm HPC+

IP_数据表(Z-4):1.8V StndardCell for TSMC 28nm HPC+
2023-03-16 19:26:321

IP_数据表(I-4):16Gpbs SerDes for TSMC 28nm HPC

IP_数据表(I-4):16Gpbs SerDes for TSMC 28nm HPC
2023-03-16 19:31:340

IP_数据表(I-6):SATA PHY for TSMC 28nm HPC+

IP_数据表(I-6):SATA PHY for TSMC 28nm HPC+
2023-03-16 19:31:530

IP_数据表(I-19):FPD-Link Receiver for TSMC 28nm HPC+

IP_数据表(I-19):FPD-Link Receiver for TSMC 28nm HPC+
2023-03-16 19:32:060

IP_数据表(I-20):FPD-Link Transmitter for TSMC 28nm HPC

IP_数据表(I-20):FPD-Link Transmitter for TSMC 28nm HPC
2023-03-16 19:32:200

IP_数据表(Z-1):GPIO for TSMC 28nm HPM/HPC/HPC+

IP_数据表(Z-1):GPIO for TSMC 28nm HPM/HPC/HPC+
2023-03-16 19:32:490

IP_数据表(I-10):USB2.0 Transceiver for TSMC 28nm HPC+

IP_数据表(I-10):USB2.0 Transceiver for TSMC 28nm HPC+
2023-03-16 19:34:540

IP_数据表(I-3):16Gpbs SerDes for TSMC 28nm HPC+

IP_数据表(I-3):16Gpbs SerDes for TSMC 28nm HPC+
2023-03-16 19:35:091

MT28EW01GABA1HPC-0SIT STOCK

MT28EW01GABA1HPC-0SIT TR 供应商 MT28EW01GABA1HPC-0SIT TR怎么订货 MT28EW01GABA1HPC-0SIT TR价格 黄云艳 13632767652
2021-12-23 14:07:15678

IP 数据表: 1.8V Standard Cell for TSMC 28nm HPC+

IP 数据表: 1.8V Standard Cell for TSMC 28nm HPC+
2023-07-05 19:47:130

IP_数据表(I-5):SerDes PHY for TSMC 28nm HPC+

IP_数据表(I-5):SerDes PHY for TSMC 28nm HPC+
2023-07-06 20:11:570

IP_数据表(Z-4):1.8V StndardCell for TSMC 28nm HPC+

IP_数据表(Z-4):1.8V StndardCell for TSMC 28nm HPC+
2023-07-06 20:12:360

IP_数据表(I-4):16Gpbs SerDes for TSMC 28nm HPC

IP_数据表(I-4):16Gpbs SerDes for TSMC 28nm HPC
2023-07-06 20:17:540

IP_数据表(I-6):SATA PHY for TSMC 28nm HPC+

IP_数据表(I-6):SATA PHY for TSMC 28nm HPC+
2023-07-06 20:18:070

IP_数据表(I-19):FPD-Link Receiver for TSMC 28nm HPC+

IP_数据表(I-19):FPD-Link Receiver for TSMC 28nm HPC+
2023-07-06 20:18:200

IP_数据表(I-20):FPD-Link Transmitter for TSMC 28nm HPC

IP_数据表(I-20):FPD-Link Transmitter for TSMC 28nm HPC
2023-07-06 20:18:392

IP_数据表(Z-1):GPIO for TSMC 28nm HPM/HPC/HPC+

IP_数据表(Z-1):GPIO for TSMC 28nm HPM/HPC/HPC+
2023-07-06 20:19:040

IP_数据表(I-10):USB2.0 Transceiver for TSMC 28nm HPC+

IP_数据表(I-10):USB2.0 Transceiver for TSMC 28nm HPC+
2023-07-06 20:21:030

IP_数据表(I-3):16Gpbs SerDes for TSMC 28nm HPC+

IP_数据表(I-3):16Gpbs SerDes for TSMC 28nm HPC+
2023-07-06 20:21:220

智原开发英飞凌宣布其Ariel™ SoC成功通过完整质量可靠度验证

ASIC设计服务暨IP研发销售厂商智原科技(Faraday Technology Corporation,TWSE:3035)今日宣布其Ariel™ SoC成功通过完整质量可靠度验证,该IoT芯片基于联电40纳米超低功耗(40ULP)工艺并采用英飞凌SONOS eFlash嵌入式闪存技术。
2023-08-17 15:58:201104

创意电子宣布5nm HBM3 PHY和控制器经过硅验证,速度为8.4Gbps

来源:EE Times 先进ASIC领导厂商创意电子(GUC)宣布,公司HBM3解决方案已通过8.4 Gbps硅验证,该方案采用台积电5纳米工艺技术。该平台在台积电2023北美技术研讨会合作伙伴展示
2023-09-07 17:37:50250

智原推出14纳米ASIC整合设计服务迈向人工智能新时代

ASIC设计服务暨IP研发销售厂商智原科技(Faraday Technology Corporation,TWSE:3035)提供完整的FinFET 14纳米ASIC整合设计开发服务,搭配SoC验证平台与高速传输IP解决方案
2023-12-26 18:20:56356

已全部加载完成