0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

标签 > EDA软件

EDA软件

+关注6人关注

进入我国并具有广泛影响的EDA软件是系统设计软件辅助类和可编程芯片辅助设计软件:Protel、PSPICE、multiSIM10(原EWB的最新版本)、OrCAD、PCAD、LSIIogic、MicroSim,ISE,modelsim等等。

文章:80 视频:2 浏览:18588 帖子:12

eda软件技术

目前的主流一些PCB软件介绍

从开始的众家厂商在自己擅长的领域发展,到后期不断地修改和完善,或优存劣汰、或收购兼并、或强强联合,现在在国内被人们熟知的厂商屈指可数:Altium、Ca...

2019-10-24 标签:PCB软件EDA软件华秋DFM 3.1万 0

几种常见的PCB版图设计仿真软件介绍

几种常见的PCB版图设计仿真软件介绍

Altium Designer Winter 09 提供了唯一一款统一的应用方案,其综合电子产品一体化开发所需的所有必须技术和功能。Altium Des...

2019-07-25 标签:PCB设计orcadEDA软件 3.0万 0

四种典型的EMC仿真应用软件介绍

EMC仿真软件能够由我们提供了一个非常有效的频率较高和高频率电磁仿真应用工具,它集高频率电路建模、仿真和优化由一体,用仿真代替实验,可以快速的帮助工程师...

2019-04-20 标签:仿真emceda软件 1.7万 0

什么是阻抗控制如何对PCB进行阻抗控制

什么是阻抗控制如何对PCB进行阻抗控制

阻抗控制最终需要通过PCB设计实现,对PCB板工艺也提出更高要求,经过与PCB厂的沟通,并结合EDA软件的使用,按照信号完整性要求去控制走线的阻抗。

2019-09-06 标签:pcbEDA软件阻抗控制 1.3万 0

如何控制PCB走线的阻抗

如何控制PCB走线的阻抗

没有阻抗控制的话,将引发相当大的信号反射和信号失真,导致设计失败。常见的信号,如PCI总线、PCI-E总线、USB、以太网、DDR内存、LVDS信号等,...

2019-10-04 标签:pcbEDA软件阻抗控制 1.0万 0

电路设计中常用的EDA软件介绍

中国已走到了WTO的门口,随着WTO的加入,电路行业将会受到较大的冲击,许多从事电路设计工作的人员对EDA软件并不熟悉。笔者此文的目的就是让这些同业者对...

2019-11-19 标签:PROTELEWBorcad 9490 0

如何利用Protel99SE软件进行电路仿真设计

如何利用Protel99SE软件进行电路仿真设计

直接调用仿真库内的器件导致仿真失败的原因是Protel99SE仿真库内的模型不能完整和全面地描述电路的性能。

2019-11-18 标签:PROTEL99SEEDA软件 8926 0

您如何看待未来三年PCB设计市场会有上量需求趋势?

评价EDA设计工具的优劣是个比较有争议的话题,很难得出一个权威的结论。因为每一种工具都有其自身的特点,对应不同的行业领域。不同的行业对电子开发工具的需求...

2018-08-01 标签:PCBEDA软件工业4.0 7100 0

如何在EDA软件中创建元件模型

如何在EDA软件中创建元件模型

EDA软件的元件模型包括符号库和相应的参数。如果仅仅是电路原理图或PCB图的需要,则只需要符号库的内容。如果对该符号还必须作仿真,则该符号所代表的器件必...

2019-04-16 标签:pcbeda软件 5611 0

PCB设计中常用的EDA软件介绍

PCB设计中常用的EDA软件介绍

ORCAD 是由ORCAD公司于20世纪80年代末推出的EDA软件。它是世界上使用最广的EDA软件,每天都有上百万的电路工程师在使用它,相对于其它EDA...

2019-08-09 标签:PCB设计EDA软件CAD软件 5082 0

查看更多>>

eda软件资讯

芯片断供八个月后的华为现在处境如何?

芯片断供八个月后的华为现在处境如何?

华为的芯片是在2020年9月15日这天正式断供的,距今已经快8个月的时间了,我们聊聊看目前华为怎么样了,以及华为在通过什么样的方式活下来。 2021年3...

2021-05-07 标签:半导体华为EDA软件 1.1万 0

EDA软件公司飞谱电子联合国内知名专家出版专著 聚焦高频高速电路设计

飞谱电子联合国内知名专家出版专著 随着无线通信行业的不断发展,对设计的要求也在不断提高。如何提高系统性能、降低成本和缩短研制周期,已经成为设计者需要解决...

2021-08-12 标签:电路图edaEDA技术 8621 0

云中EDA如何推动半导体创新 云就绪EDA和IP产品组合

作者:Sandeep Mehndiratta,副总裁;Sridhar Panchapakesan,项目管理总监;和技术营销总监 Teng-Kiat Le...

2022-03-25 标签:IPeda数据中心 7721 0

EDA软件介绍分析与EDA软件发展前景解密

芯片设计公司希望通过工艺升级生产更高性能,更低功耗和更小芯片。为解决7nm漏电效应,为了满足相应工艺的生产规则,需要在支持芯片设计尤其是后端设计阶段的E...

2020-11-16 标签:EDA工具edaEDA技术 6179 0

IC设计圈大联欢  CadenceLIVE China 2021中国区用户大会报名中

IC设计圈大联欢 CadenceLIVE China 2021中国区用户大会报名中

  亲爱的用户朋友们, 好久不见!在 2021 年的夏日,中国 IC 设计圈的大联欢 CadenceLIVE China 2021 又要举办啦!Cade...

2021-08-11 标签:EDA工具Cadenceeda 6035 0

国产EDA软件的现状如何

随着华为被制裁打压,而且禁令在一步步升级,我们也开始意识到关键技术的薄弱,在很多领域没有竞争力甚至是空白,所以造成了严重的依赖,比如我们所知道的光刻机,...

2020-06-02 标签:edaEDA软件华秋DFM 5968 0

EDA软件国产化替代如何破局

去年516实体清单事件前,华为获得了当时版本EDA工具的永久授权。由于这些EDA软件能够领先实际芯片制造工艺两三年,所以短期内EDA禁令对华为的芯片设计...

2020-05-25 标签:edaEDA软件华秋DFM 5804 0

2020年全球EDA软件行业市场现状及发展趋势分析

2020年全球EDA软件行业市场现状及发展趋势分析

EDA软件随着CAD软件行业的快速发展而应运而生,进一步弥补了芯片设计的需要。1984年DAC举办了第一个以电子设计自动化为主题的销售展览,EDA在80...

2020-06-12 标签:edaEDA软件华秋DFM 5600 0

杜克大学终身教授陈怡然专访:AI芯片是如何诞生的,发展的动力在哪?

传统上来讲,我们在设计的时候,都希望把一个芯片设计的工作抽象成一个数学可以表达的模型再去做优化,AI最大的能力是什么?可以把一个任务在你可能不完全理解,...

2019-03-29 标签:gpuEDA软件AI芯片 5440 0

EDA公司芯行纪开始运营江北新区产业技术研创园EDA服务平台

日前,江北新区产业技术研创园(以下简称“江北新区研创园”)与EDA数字实现解决方案提供商芯行纪科技有限公司(以下简称“芯行纪”)宣布达成战略合作,即日起...

2021-08-16 标签:集成电路EDA工具eda 5276 0

查看更多>>

eda软件数据手册

相关标签

相关话题

换一批
  • 基站测试
    基站测试
    +关注
    802.11ac与11基站测试(base station tests) 在基站设备安装完毕后,对基站设备电气性能所进行的测量。n的区别,802.11n无线网卡驱动,802.11n怎么安装。
  • 扫频仪
    扫频仪
    +关注
    在电子测量中,经常遇到对网络的阻抗特性和传输特性进行测量的问题,其中传输特性包括增益和衰减特性、幅频特性、相频特性等。用来测量前述特性的仪器我们称为频率特性测试仪,简称扫频仪。
  • programmer
    programmer
    +关注
    Programmer中文名计算机程序编制员,分为程序设计人员和程序编码员。解释为:计算机程序编制员程序员是从事程序开发、维护的专业人员。一般我们将程序员分为程序设计人员和程序编码员编程是他们的工作。
  • 中文版
    中文版
    +关注
  • Edison
    Edison
    +关注
  • 主控芯片
    主控芯片
    +关注
    主控芯片是主板或者硬盘的核心组成部分,是联系各个设备之间的桥梁,也是控制设备运行工作的大脑。在主板中,两大芯片是最重要的,一个是南桥芯片,它控制着扩展槽,USB接口,串口,并口,1394接口,VGA接口,等,它主要负责外部接口和内部cpu的联系,而另一个是北桥芯片,它控制着CPU的类型,型号,主板的总线频率,内存类型,容量,显卡,等。
  • mathtype
    mathtype
    +关注
    mathtype是一款专业的数学公式编辑工具,能够帮助用户在各种文档中插入复杂的数学公式和符号。
  • 注册机
    注册机
    +关注
    注册机是一种破解软件,它的用途基本在两个范围之内,一个就是由于一些软件涉及版权问题,要完全使用的话需要注册,或者有试用期限限制,或者只有注册之后才可以享受全功能。第二个就是某些网站、网页通过正常注册用户会很复杂,或者是网页、网站管理员需要大量注册内测用户的时候就可以用注册机来达到简单快速的注册用户了。
  • uVision4
    uVision4
    +关注
  • MPLAB IDE
    MPLAB IDE
    +关注
  • 调试软件
    调试软件
    +关注
  • 集成开发环境
    集成开发环境
    +关注
    集成开发环境是用于提供程序开发环境的应用程序,一般包括代码编辑器、编译器、调试器和图形用户界面等工具。集成了代码编写功能、分析功能、编译功能、调试功能等一体化的开发软件服务套。所有具备这一特性的软件或者软件套(组)都可以叫集成开发环境。
  • Kit
    Kit
    +关注
  • 三相异步电机
    三相异步电机
    +关注
    三相异步电机(Triple-phase asynchronous motor)是感应电动机的一种,是靠同时接入380V三相交流电流(相位差120度)供电的一类电动机,由于三相异步电动机的转子与定子旋转磁场以相同的方向、不同的转速旋转,存在转差率,所以叫三相异步电动机。
  • origin
    origin
    +关注
  • poe交换机
    poe交换机
    +关注
      POE (Power Over Ethernet)指的是在现有的以太网Cat.5布线基础架构不作任何改动的情况下,在为一些基于IP的终端(如IP电话机、无线局域网接入点AP、网络摄像机等)传输数据信号的同时,还能为此类设备提供直流电的技术,就是支持以太网供电的交换机。
  • FlyMcu
    FlyMcu
    +关注
  • devops
    devops
    +关注
    DevOps(Development和Operations的组合词)是一组过程、方法与系统的统称,用于促进开发(应用程序/软件工程)、技术运营和质量保障(QA)部门之间的沟通、协作与整合。
  • vfp
    vfp
    +关注
  • CadSoft
    CadSoft
    +关注
  • CCSv5
    CCSv5
    +关注
  • Robot
    Robot
    +关注
  • 调试助手
    调试助手
    +关注
  • putty
    putty
    +关注
  • 修复工具
    修复工具
    +关注
  • Axure
    Axure
    +关注
  • PCB设计软件
    PCB设计软件
    +关注
    pcb设计软件是根据电路原理图实现电路设计需要的功能。电路板的设计主要是版图设计,要考虑到元器件和连线的整体布局以及优化布局。pcb设计是需要计算机辅助设计来实现的。
  • 刷机工具
    刷机工具
    +关注
  • 计算工具
    计算工具
    +关注
  • 麦克斯韦方程组
    麦克斯韦方程组
    +关注

关注此标签的用户(6人)

jf_62194339 橙八两 jf_00561657 水涛_011 袁德奎 jf_19991509

编辑推荐厂商产品技术软件/工具OS/语言教程专题

电机控制 DSP 氮化镓 功率放大器 ChatGPT 自动驾驶 TI 瑞萨电子
BLDC PLC 碳化硅 二极管 OpenAI 元宇宙 安森美 ADI
无刷电机 FOC IGBT 逆变器 文心一言 5G 英飞凌 罗姆
直流电机 PID MOSFET 传感器 人工智能 物联网 NXP 赛灵思
步进电机 SPWM 充电桩 IPM 机器视觉 无人机 三菱电机 ST
伺服电机 SVPWM 光伏发电 UPS AR 智能电网 国民技术 Microchip
瑞萨 沁恒股份 全志 国民技术 瑞芯微 兆易创新 芯海科技 Altium
德州仪器 Vishay Micron Skyworks AMS TAIYOYUDEN 纳芯微 HARTING
adi Cypress Littelfuse Avago FTDI Cirrus LogIC Intersil Qualcomm
st Murata Panasonic Altera Bourns 矽力杰 Samtec 扬兴科技
microchip TDK Rohm Silicon Labs 圣邦微电子 安费诺工业 ixys Isocom Compo
安森美 DIODES Nidec Intel EPSON 乐鑫 Realtek ERNI电子
TE Connectivity Toshiba OMRON Sensirion Broadcom Semtech 旺宏 英飞凌
Nexperia Lattice KEMET 顺络电子 霍尼韦尔 pulse ISSI NXP
Xilinx 广濑电机 金升阳 君耀电子 聚洵 Liteon 新洁能 Maxim
MPS 亿光 Exar 菲尼克斯 CUI WIZnet Molex Yageo
Samsung 风华高科 WINBOND 长晶科技 晶导微电子 上海贝岭 KOA Echelon
Coilcraft LRC trinamic
放大器 运算放大器 差动放大器 电流感应放大器 比较器 仪表放大器 可变增益放大器 隔离放大器
时钟 时钟振荡器 时钟发生器 时钟缓冲器 定时器 寄存器 实时时钟 PWM 调制器
视频放大器 功率放大器 频率转换器 扬声器放大器 音频转换器 音频开关 音频接口 音频编解码器
模数转换器 数模转换器 数字电位器 触摸屏控制器 AFE ADC DAC 电源管理
线性稳压器 LDO 开关稳压器 DC/DC 降压转换器 电源模块 MOSFET IGBT
振荡器 谐振器 滤波器 电容器 电感器 电阻器 二极管 晶体管
变送器 传感器 解析器 编码器 陀螺仪 加速计 温度传感器 压力传感器
电机驱动器 步进驱动器 TWS BLDC 无刷直流驱动器 湿度传感器 光学传感器 图像传感器
数字隔离器 ESD 保护 收发器 桥接器 多路复用器 氮化镓 PFC 数字电源
开关电源 步进电机 无线充电 LabVIEW EMC PLC OLED 单片机
5G m2m DSP MCU ASIC CPU ROM DRAM
NB-IoT LoRa Zigbee NFC 蓝牙 RFID Wi-Fi SIGFOX
Type-C USB 以太网 仿真器 RISC RAM 寄存器 GPU
语音识别 万用表 CPLD 耦合 电路仿真 电容滤波 保护电路 看门狗
CAN CSI DSI DVI Ethernet HDMI I2C RS-485
SDI nas DMA HomeKit 阈值电压 UART 机器学习 TensorFlow
Arduino BeagleBone 树莓派 STM32 MSP430 EFM32 ARM mbed EDA
示波器 LPC imx8 PSoC Altium Designer Allegro Mentor Pads
OrCAD Cadence AutoCAD 华秋DFM Keil MATLAB MPLAB Quartus
C++ Java Python JavaScript node.js RISC-V verilog Tensorflow
Android iOS linux RTOS FreeRTOS LiteOS RT-THread uCOS
DuerOS Brillo Windows11 HarmonyOS
林超文PCB设计:PADS教程,PADS视频教程 郑振宇老师:Altium Designer教程,Altium Designer视频教程
张飞实战电子视频教程 朱有鹏老师:海思HI3518e教程,HI3518e视频教程
李增老师:信号完整性教程,高速电路仿真教程 华为鸿蒙系统教程,HarmonyOS视频教程
赛盛:EMC设计教程,EMC视频教程 杜洋老师:STM32教程,STM32视频教程
唐佐林:c语言基础教程,c语言基础视频教程 张飞:BUCK电源教程,BUCK电源视频教程
正点原子:FPGA教程,FPGA视频教程 韦东山老师:嵌入式教程,嵌入式视频教程
张先凤老师:C语言基础视频教程 许孝刚老师:Modbus通讯视频教程
王振涛老师:NB-IoT开发视频教程 Mill老师:FPGA教程,Zynq视频教程
C语言视频教程 RK3566芯片资料合集
朱有鹏老师:U-Boot源码分析视频教程 开源硬件专题