0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

标签 > 信号

信号

信号

+关注11人关注

信号是表示消息的物理量,如电信号可以通过幅度、频率、相位的变化来表示不同的消息。这种电信号有模拟信号和数字信号两类。信号是运载消息的工具,是消息的载体。从广义上讲,它包含光信号、声信号和电信号等。按照实际用途区分,信号包括电视信号、广播信号、雷达信号,通信信号等;按照所具有的时间特性区分,则有确定性信号和随机性信号等。

文章:1691 浏览:75394 帖子:534

信号资讯

一文速通 PCB layout 中的信号完整性基础知识

一文速通 PCB layout 中的信号完整性基础知识

正式发布2023年10月13日Cadence15年间最具影响力的版本更新之一AllegroX/OrCADX23.1本文要点:掌握信号完整性基础知识实现良...

2023-10-21 标签:pcb信号Layout 922 0

RF信号链应用中,差分电路有哪些优点?

RF信号链应用中,差分电路有哪些优点?

当提到通信系统时,比起单端电路,差分电路总是能提供更加优良的性能——它们具有更高的线性度、抗共模干扰信号性能等。今天我们就说说RF信号链应用中差分电路的...

2023-10-20 标签:信号RF差分电路 352 0

高频传输线讯号分析基础

高频传输线讯号分析基础

1何为传输线?传输线理论来源:在信号完整性和电源完整性,工程师必须理解传输线理论基础,这里给出简单的传输线理论.如果传输线上传输的信号是低频信号,假设是...

2023-10-19 标签:电缆信号高频 377 0

直播干货 |工业照明如何更低成本实现节能低碳?

直播干货 |工业照明如何更低成本实现节能低碳?

近年来,在国内各项利好政策的推动下,工业照明技术不断升级,拉动整个行业进入高速发展期。在十四五规划中,加强工业互联网、物联网、AI等新基建,更是作为国家...

2023-10-19 标签:照明信号工业 413 0

差分晶振是什么呢

差分晶振是什么呢

由于电压差决定了信号的值,两个导体上的任何干扰都将被忽略。除了干扰不太敏感之外,差分号比单端号产生的电磁干扰更少。单电源系统可以平静而准确地处理“双极”信号。

2023-10-17 标签:晶振信号差分晶振 897 0

DG535信号发生器振开关故障维修

DG535信号发生器振开关故障维修

近日某院校送修斯坦福信号发生器DG535,客户反馈信号发生器信号发生器振开关故障,对仪器进行初步检测,确定与客户描述故障一致。本期将为大家分享本维修案例...

2023-10-17 标签:信号信号发生器 365 0

pcb孔的分类你知多少?

PCB孔的分类包括通孔、盲孔、直通孔和埋孔。它们各自具有不同的用途和优势,今天捷多邦小编就来详细讲述一下

2023-10-17 标签:线路板信号PCB 1103 0

动环监控系统组成设备

动环监控系统作为机房的伴生系统,针对机房管理工作,与物联网、智能传感、自动化控制等技术,组成一套可监测、控制、调节、管理的智能方案。通过动环监控平台、监...

2023-10-16 标签:配电信号动环监控 507 0

T113开发平台mipi 5.5寸屏调试

T113开发平台mipi 5.5寸屏调试

T113平台5.5寸1080x1920mipi屏调试指导调试MIPI屏主要步骤如下:1.根据屏规格书,配置MIPI屏参数。2.基于平台中默认的MIPIL...

2023-10-16 标签:驱动信号调试 742 0

信号阻抗、串扰、关键网络拓扑链路与眼图仿真分析实例技巧

信号阻抗、串扰、关键网络拓扑链路与眼图仿真分析实例技巧

正式发布2023年10月13日Cadence15年间最具影响力的版本更新之一AllegroX/OrCADX23.1感谢大家的支持,【信号阻抗、串扰、关键...

2023-10-14 标签:仿真信号网络拓扑 416 0

离线分析中,CANape 或 vSignalyzer 对不同信号进行时间同步

离线分析中,CANape 或 vSignalyzer 对不同信号进行时间同步

在离线分析的过程中,可能会对两个不同的信号进行时间上同步,本文以举例的形式介绍,如何使用 CANape 或者 vSignalyzer 对不同的信号进行时间同步。

2023-10-13 标签:CAN信号时间同步 1238 0

如何测量GNSS信号和高斯噪声功率及载波比?

如何测量GNSS信号和高斯噪声功率及载波比?

引言本文将介绍如何测量德思特SafranGSG-7或GSG-8GNSS模拟器的输出信号功率。此外,还展示了如何为此类测量正确配置德思特SafranSky...

2023-10-13 标签:噪声信号频谱分析仪 875 0

如何用NDI实现双机位直播

如何用NDI实现双机位直播

随着网络直播的快速发展,人们对直播在视频画面上的表现和效果提出了更高的要求。双机位直播就是利用两台摄像机从两个不同的视角进行视频拍摄,然后由导播台进行切...

2023-10-13 标签:视频直播信号 832 0

谈谈SX127X LoRa近距离通信串扰问题(虚假接收)优化

谈谈SX127X LoRa近距离通信串扰问题(虚假接收)优化

SX127XLoRa的信号在近距离通信情况下,若配置了相同的SF、BW参数时,一定偏移值的两个信道频率之间会出现可以相互通信的情况,此时接收到的数据也可...

2023-10-13 标签:通信信号LoRa 533 0

非屏蔽双绞线可以传输什么信号

大家都知道双绞线分为屏蔽和非屏蔽两大类,从字面的意思上来看非屏蔽双绞线配出屏蔽信号,那还能传输什么信号呢?在非屏蔽网线中,一共有8根不同颜色的线芯,那这...

2023-10-13 标签:信号双绞线 601 0

烟道流量计用的高温超声波换能器接收信号是什么样?

烟道流量计用的高温超声波换能器接收信号是什么样?

大家知道烟道流量计在高温下是否能正常接受信号?下面我们一起来聊一聊吧。 这里面的是用于烟道流量计的插入式超声波换能器,它的工作频率大约是在40K到45K...

2023-10-11 标签:信号超声波换能器 200 0

电梯智能温湿度传感器是什么?其工作原理是什么?

电梯温湿度传感器是一种用于监测电梯环境中的温度和湿度的电子设备,帮助电梯系统进行相应的控制和调节。

2023-10-09 标签:传感器信号 432 0

CANape/vMeasure exp控制OUTMM输出PWM波的方法

CANape/vMeasure exp控制OUTMM输出PWM波的方法

本文展示使用CANape控制OUT MiniModule输出可调节占空比的PWM波的方法,CANape通过VN1610接口卡收发器发送CAN报文到总线上...

2023-10-07 标签:PWM数据采集控制 593 0

绝对值编码器:输出信号与误差分析

绝对值编码器:输出信号与误差分析

绝对值编码器:输出信号与误差分析!在机械控制系统中,绝对值编码器是很常见的一种位置反馈装置。它可以将旋转或线性运动的位置信息转换为数字信号,用以控制系统...

2023-10-07 标签:传感器编码器信号 1081 0

YXC扬兴科技 | YSO110TR:为音频调节器提供精确时钟信号的理想选择

YXC扬兴科技 | YSO110TR:为音频调节器提供精确时钟信号的理想选择

在音频调节器领域,精确的时钟信号对于音频处理和调节具有关键作用。YXC扬兴科技推出的石英振荡器YSO110TR(料号:OT2JI-111-12.288M...

2023-10-07 标签:振荡器信号晶体振荡器 741 0

相关标签

相关话题

换一批
  • Protues
    Protues
    +关注
    Proteus软件是英国Lab Center Electronics公司出版的EDA工具软件(该软件中国总代理为广州风标电子技术有限公司)。它不仅具有其它EDA工具软件的仿真功能,还能仿真单片机及外围器件。
  • 静电防护
    静电防护
    +关注
    为防止静电积累所引起的人身电击、火灾和爆炸、电子器件失效和损坏,以及对生产的不良影响而采取的防范措施。其防范原则主要是抑制静电的产生,加速静电泄漏,进行静电中和等。
  • Altium Designer
    Altium Designer
    +关注
  • ArduBlock
    ArduBlock
    +关注
    ArduBlock软件是Arduino官方编程环境的第三方软件,目前必须依附于Arduino软件下运行,区别于Arduino文本式编程环境,ArduBlock是以图形化积木搭建的方式编程的,这样的方式会使编程的可视化和交互性加强,编程门槛降低,即使没有编程经验的人也可以尝试给Arduino控制器编写程序。
  • AD10
    AD10
    +关注
  • 识别
    识别
    +关注
  • PCB封装
    PCB封装
    +关注
    pcb封装就是把 实际的电子元器件,芯片等的各种参数(比如元器件的大小,长宽,直插,贴片,焊盘的大小,管脚的长宽,管脚的间距等)用图形方式表现出来,以便可以在画pcb图时进行调用。
  • PCB封装库
    PCB封装库
    +关注
  • AD09
    AD09
    +关注
  • QuickPcb
    QuickPcb
    +关注
  • Protel 99 se
    Protel 99 se
    +关注
  • candence
    candence
    +关注
  • 面包板
    面包板
    +关注
    面包板是由于板子上有很多小插孔,专为电子电路的无焊接实验设计制造的。由于各种电子元器件可根据需要随意插入或拔出,免去了焊接,节省了电路的组装时间,而且元件可以重复使用,所以非常适合电子电路的组装、调试和训练。
  • 特性阻抗
    特性阻抗
    +关注
    特性阻抗又称特征阻抗,它不是直流电阻,属于长线传输中的概念。特性阻抗是射频传输线影响无线电波电压、电流的幅值和相位变化的固有特性,等于各处的电压与电流的比值,用V/I表示。在射频电路中,电阻、电容、电感都会阻碍交变电流的流动,合称阻抗。电阻是吸收电磁能量的,理想电容和电感不消耗电磁能量。
  • Protel DXP
    Protel DXP
    +关注
  • 布局布线
    布局布线
    +关注
  • 库文件
    库文件
    +关注
    库文件是计算机上的一类文件,提供给使用者一些开箱即用的变量、函数或类。库文件分为静态库和动态库,静态库和动态库的区别体现在程序的链接阶段:静态库在程序的链接阶段被复制到了程序中;动态库在链接阶段没有被复制到程序中,而是程序在运行时由系统动态加载到内存中供程序调用。使用动态库系统只需载入一次,不同的程序可以得到内存中相同的动态库的副本,因此节省了很多内存,而且使用动态库也便于模块化更新程序。
  • 清华紫光
    清华紫光
    +关注
  • AD软件
    AD软件
    +关注
  • PCB天线
    PCB天线
    +关注
  • Genesis2000
    Genesis2000
    +关注
  • 敷铜板
    敷铜板
    +关注
  • Altium_Designer
    Altium_Designer
    +关注
    Altium Designer 是原Protel软件开发商Altium公司推出的一体化的电子产品开发系统,主要运行在Windows操作系统。这套软件通过把原理图设计、电路仿真、PCB绘制编辑、拓扑逻辑自动布线、信号完整性分析和设计输出等技术的完美融合
  • 拼接
    拼接
    +关注
  • PCB制板
    PCB制板
    +关注
  • PADS9.5
    PADS9.5
    +关注
  • 封装设计
    封装设计
    +关注
  • 光绘文件
    光绘文件
    +关注
  • 感应式
    感应式
    +关注
  • 直角走线
    直角走线
    +关注

关注此标签的用户(11人)

jf_04630909 Tfing_beb jf_11484973 没头脑不高兴_2a3 jf_01422121 西方运 nrjssmy 爱哭de小魔女 andewli 人间不嫌 8号菜刀

编辑推荐厂商产品技术软件/工具OS/语言教程专题

电机控制 DSP 氮化镓 功率放大器 ChatGPT 自动驾驶 TI 瑞萨电子
BLDC PLC 碳化硅 二极管 OpenAI 元宇宙 安森美 ADI
无刷电机 FOC IGBT 逆变器 文心一言 5G 英飞凌 罗姆
直流电机 PID MOSFET 传感器 人工智能 物联网 NXP 赛灵思
步进电机 SPWM 充电桩 IPM 机器视觉 无人机 三菱电机 ST
伺服电机 SVPWM 光伏发电 UPS AR 智能电网 国民技术 Microchip
瑞萨 沁恒股份 全志 国民技术 瑞芯微 兆易创新 芯海科技 Altium
德州仪器 Vishay Micron Skyworks AMS TAIYOYUDEN 纳芯微 HARTING
adi Cypress Littelfuse Avago FTDI Cirrus LogIC Intersil Qualcomm
st Murata Panasonic Altera Bourns 矽力杰 Samtec 扬兴科技
microchip TDK Rohm Silicon Labs 圣邦微电子 安费诺工业 ixys Isocom Compo
安森美 DIODES Nidec Intel EPSON 乐鑫 Realtek ERNI电子
TE Connectivity Toshiba OMRON Sensirion Broadcom Semtech 旺宏 英飞凌
Nexperia Lattice KEMET 顺络电子 霍尼韦尔 pulse ISSI NXP
Xilinx 广濑电机 金升阳 君耀电子 聚洵 Liteon 新洁能 Maxim
MPS 亿光 Exar 菲尼克斯 CUI WIZnet Molex Yageo
Samsung 风华高科 WINBOND 长晶科技 晶导微电子 上海贝岭 KOA Echelon
Coilcraft LRC trinamic
放大器 运算放大器 差动放大器 电流感应放大器 比较器 仪表放大器 可变增益放大器 隔离放大器
时钟 时钟振荡器 时钟发生器 时钟缓冲器 定时器 寄存器 实时时钟 PWM 调制器
视频放大器 功率放大器 频率转换器 扬声器放大器 音频转换器 音频开关 音频接口 音频编解码器
模数转换器 数模转换器 数字电位器 触摸屏控制器 AFE ADC DAC 电源管理
线性稳压器 LDO 开关稳压器 DC/DC 降压转换器 电源模块 MOSFET IGBT
振荡器 谐振器 滤波器 电容器 电感器 电阻器 二极管 晶体管
变送器 传感器 解析器 编码器 陀螺仪 加速计 温度传感器 压力传感器
电机驱动器 步进驱动器 TWS BLDC 无刷直流驱动器 湿度传感器 光学传感器 图像传感器
数字隔离器 ESD 保护 收发器 桥接器 多路复用器 氮化镓 PFC 数字电源
开关电源 步进电机 无线充电 LabVIEW EMC PLC OLED 单片机
5G m2m DSP MCU ASIC CPU ROM DRAM
NB-IoT LoRa Zigbee NFC 蓝牙 RFID Wi-Fi SIGFOX
Type-C USB 以太网 仿真器 RISC RAM 寄存器 GPU
语音识别 万用表 CPLD 耦合 电路仿真 电容滤波 保护电路 看门狗
CAN CSI DSI DVI Ethernet HDMI I2C RS-485
SDI nas DMA HomeKit 阈值电压 UART 机器学习 TensorFlow
Arduino BeagleBone 树莓派 STM32 MSP430 EFM32 ARM mbed EDA
示波器 LPC imx8 PSoC Altium Designer Allegro Mentor Pads
OrCAD Cadence AutoCAD 华秋DFM Keil MATLAB MPLAB Quartus
C++ Java Python JavaScript node.js RISC-V verilog Tensorflow
Android iOS linux RTOS FreeRTOS LiteOS RT-THread uCOS
DuerOS Brillo Windows11 HarmonyOS
林超文PCB设计:PADS教程,PADS视频教程 郑振宇老师:Altium Designer教程,Altium Designer视频教程
张飞实战电子视频教程 朱有鹏老师:海思HI3518e教程,HI3518e视频教程
李增老师:信号完整性教程,高速电路仿真教程 华为鸿蒙系统教程,HarmonyOS视频教程
赛盛:EMC设计教程,EMC视频教程 杜洋老师:STM32教程,STM32视频教程
唐佐林:c语言基础教程,c语言基础视频教程 张飞:BUCK电源教程,BUCK电源视频教程
正点原子:FPGA教程,FPGA视频教程 韦东山老师:嵌入式教程,嵌入式视频教程
张先凤老师:C语言基础视频教程 许孝刚老师:Modbus通讯视频教程
王振涛老师:NB-IoT开发视频教程 Mill老师:FPGA教程,Zynq视频教程
C语言视频教程 RK3566芯片资料合集
朱有鹏老师:U-Boot源码分析视频教程 开源硬件专题