电子发烧友App

硬声App

0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

电子发烧友网>可编程逻辑>FPGA实现SATA协议的设计步骤

FPGA实现SATA协议的设计步骤

收藏

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

评论

查看更多

相关推荐

FPGA实现SATA控制器

FPGA实现SATA控制器FPGA实现SATA控制器
2012-08-11 18:08:52

FPGA实现的SPI协议

写在前面SPI协议系列文章:FPGA实现的SPI协议(一)----SPI驱动 在上篇文章,简要介绍了SPI协议,编写了SPI协议FPGA驱动,但是在验证环节,仅仅验证了发送时序,而没有与从机进行
2022-02-17 06:03:44

FPGA实现路由、MAC层协议的困难在哪里?

1.单单用FPGA实现路由、MAC层协议是有可能的吗?实现的主要困难在哪里?2.之前问过别人协议涉及大量变量,FPGA无法单独完成,需要ARM的配合,那么如果用FPGA+ARM框架来联合实现的复杂度大吗?3.可不可以直接用Power PC来实现
2018-07-25 17:49:53

FPGA实现网络通信用的协议

TCP/IP协议:TCP/IP协议是Internet上使用的主要协议之一,它定义了数据在网络中的传输方式和处理方式。FPGA可以通过实现TCP/IP协议栈来支持TCP/IP通信。PCIe协议:PCI
2023-03-27 09:01:46

FPGA学习步骤

本帖最后由 eehome 于 2013-1-5 09:47 编辑 FPGA学习步骤
2012-08-12 13:36:59

FPGA学习经验和步骤

FPGA在目前应用领域非常,在目前的单板设计里面,几乎都可以看到它的身影。从简单的逻辑组合,到高端的图像、通信协议处理,从单片逻辑到复杂的ASIC原型验证,从小家电到航天器,都可以看到FPGA
2019-03-13 02:36:23

FPGA的芯片设计步骤

布局布线过程中,可同时提取时序信息形成报靠。(4)时序提取:产生一反标文件,供给后续的时序仿真使用。(5)配置:产生FPGA配置时的需要的位流文件。在实现过程中可以进行选项设置。因其支持增量设计,可以
2018-08-29 09:59:08

SATA Host和Device通信中断的原因?

硬件环境:带SATA 2.0接口的开发板,笔记本硬盘,SATA分析仪问题说明:SATA HBA初始化和Write DMA Command概率性无法完成,通过SATA协议分析仪,发现会出
2016-02-29 15:07:21

SATA3.0主机控制器IP

SATA3.0 Host Controller IPSATA3.0 Host IP不仅实现SATA协议的PHY(物理层)、Link(链路层)和TRN(传输层),并且实现了CMD(命令层)和APP
2021-01-13 22:17:29

fpga与spi通信协议

fpga通过spi通信协议在与外围设备实现通信的过程中程序代码该怎么写?新手勿喷
2014-04-09 12:44:34

实现FPGA用TCP协议与上位机数据通讯

寻找已经实现FPGA通过TCP协议与PC机通讯案例者合作,有意者请发EMAIL:szijph@126.com。急
2021-10-22 09:29:11

DSP TMS320C6748 SATA接口枚举失败

各位大神,小弟初次设计TMS320C6748 SATA接口,我将startWare中的SATA枚举例程按步骤加载SATA.out文件,然后打开串口调试软件ZOC,结果是枚举失败,跪求各位大神帮忙,为什么出问题?另外前段时间和一网友讨论,他说需要安装rtfs软件,需要安装吗?
2019-10-30 08:55:04

MQTT协议在STM32上的移植步骤教程

MQTT协议在STM32上的移植步骤教程
2021-12-02 06:48:06

ROC-RK3588S-PC开发板支持SATA协议的SSD使用

简介ROC-RK3588S-PC 开发板上有 1 个 M.2 接口。可以软件配置成 M.2 SATA3.0 接口,支持 SATA 协议的 SSD 使用,也可以软件配置成 M.2 PCIe2.0 接口
2022-07-12 17:44:08

TCP/IP通信协议FPGA上怎么实现

近年来,随着信息技术的发展,网络化日加普遍,以太网被广泛应用到各个领域。例如在数据采集领域,一些小型监测设备需要增加网络实现远程数据传输的功能,只要那些设备上增加一个网络接口并实现了TCP/IP协议
2020-03-09 06:50:07

Xilinx Kintex-7系列FPGA的开发板SATA/PCIe接口

前言TLK7-EVM是一款由广州创龙基于Xilinx Kintex-7系列FPGA自主研发的核心板+底板方式的开发板,可快速评估FPGA性能。核心板尺寸仅80mm*58mm,底板采用沉金无铅工艺的6
2020-09-24 14:39:36

xilinx sata设计

协议,设计调试了多个基于SATA接口的固态大容量存储设备.基于FPGASATA主机控制器特性如下:1. 支持SATA 1(1.5Gbps)、SATA 2(3Gbps)以及SATA 3(6Gbps)2.
2018-04-08 10:31:31

【Combat FPGA开发板】基于FPGA的网口设计——MAC协议的开发

`本视频是Combat FPGA开发板的配套视频课程,本章节课程主要介绍如何利用Gowin FPGA实现MAC协议开发。内容包括MAC协议概述,MAC帧结构,以及Gowin以太网Mac IP使用简介
2021-05-06 15:08:59

主板sata接口图,sata接口图片

主板sata接口图,sata接口图片硬盘接口目前硬盘接口类型不算多,主要有IDE、SCSI、SATA三种。IDE许多时候以Ultra ATA代替,很多人习惯将Ultra ATA硬盘称为IDE硬盘,但
2009-05-03 22:21:12

你知道PCIe转Sata的调试步骤有哪些吗

PCI设备调试手段有哪些?你知道PCIe转Sata的调试步骤有哪些吗?
2022-02-15 06:35:08

使用FPGA实现FC协议的方法?

你好,先生或女士我是中国学生。我已经研究FPGA一段时间了,我正在尝试使用FPGA实现FC协议。经过一番研究,我发现GTH可以达到FC1。但是我发现使用7系列FPGA收发器向导IP存在一些问题。我
2020-08-17 10:28:07

关于FPGA通信协议的问题

大家好,我我想请问一下,在FPGA中怎样用verilog来编写通信协议的程序?它的步骤是怎样的?刚接触FPGA,好多不懂的,希望大神指点一二。。。
2014-12-06 21:19:02

兼职XILINX FPGA设计

熟悉Spartan-6, Virtex-5/Virtex-6/7 Series/UltraScale Series FPGA,从事FPGA外围接口设计,非常熟悉SATA协议,设计调试了多个基于SATA接口的固态大容量
2015-11-11 15:06:39

利用FPGA实现汽车系统设计须遵循哪些步骤

利用FPGA实现高可靠性汽车系统设计须遵循哪些步骤?如何去防止潜在的SRAM内容损坏?
2021-05-17 07:07:41

基于FPGA实现接口协议的方法

作者:王毅 管会生 刘斌彬 梅顺良引言本文采用FPGA实现了IDE硬盘接口协议。系统提供两套符合ATA-6规范的IDE接口,一个与普通IDE硬盘连接,另一个与计算机主板上的IDE接口相连。系统采用
2019-04-18 07:00:10

基于FPGA来介绍并设计标准的SPI总线协议

不懂的有疑惑的也可以加微信咨询,欢迎大家前来投稿,谢谢!引言介绍在电子通信领域里采用的通信协议有IIC,SPI,UART,FSMC等协议。本文将基于FPGA来介绍并设计标准的SPI总线协议实现
2021-11-10 09:37:25

基于FPGA的AFDX端系统协议芯片的设计与实现

,基于ARINC664规范第7部分,提出符合该规范的基于FPGA的AFDX端系统协议芯片和相应AFDX端系统板卡的设计方案,并给出关键模块的具体实现;通过对端系统协议芯片进行测试验证,证明该端系统协议芯片
2010-05-13 09:09:08

基于MIPI协议FPGA实现视频传输技术

基于MIPI协议FPGA实现视频传输技术
2019-08-13 10:01:23

如何将数据从pc发送到fpga

你好,这是我第一次使用火箭io协议我有xilinx virtex 2 pro xupv2p板,并希望将数据从pc发送到fpga,但由于协议的数量,我有点困惑从哪里开始和使用什么你认为用于从PC向fpga发送高速数据的最佳协议是极光还是sata
2020-06-16 15:25:48

如何设计SATA主机PHY?

PHY。我想知道如何设计SATA主机PHY?是否有可能通过VIrtex-5 FPGA RocketIO GTX收发器向导?在VIrtex-5 FPGA RocketIO GTX收发器向导中有这么多选择。所以我没有哪个选项可以检查或取消选中我的IP。所以请给我指导。谢谢.----- Vishal
2019-09-20 11:02:01

如何采用FPGA实现了IDE硬盘接口协议

IDE接口协议简介用FPGA实现接口协议的方法介绍
2021-04-08 06:39:49

怎样去区分SATA2与SATA3的接口呢

区分:SATA2 与 SATA3 接口定义完全一致,从外观上并不能区分。总线协议SATA只是接口形式,SATA硬盘使用的总线为 PCIe x2,数据传输协议为 AHCI。AHCI 全称Serial
2021-12-27 06:30:41

想用FPGA实现双边滤波算法,有懂得能说一下具体的实现步骤

想用FPGA实现双边滤波算法,有懂得能说一下具体的实现步骤
2017-03-21 15:41:13

我的FPGA学习步骤

我的FPGA学习步骤FPGA在目前应用领域非常,在目前的单板设计里面,几乎都可以看到它的身影。从简单的逻辑组合,到高端的图像、通信协议处理,从单片逻辑到复杂的ASIC原型验证,从小家电到航天器
2012-02-28 09:41:25

有什么方法可以实现SPI协议的Master端呢

有什么方法可以实现SPI协议的Master端呢?其操作步骤有哪些?
2021-11-25 06:17:13

有哪位能用FPGA实现G.729语音编解码的协议

有哪位能用FPGA实现G.729语音编解码的协议?初步我们打算外包,如题,如您有把握能做的话,请联系我邮箱qox2009@163.com
2013-06-03 13:01:30

有用xilinx fpgaSATA的吗,求一起学习交流。

用用7系列fpga gtx ddr3实现sata通信的么?求一起交流。
2014-07-22 17:31:38

求助SATA的IP核,最好是Synopsys SATA IP(愿付重金)

在学校做毕业设计,被老板要求在Xilinx的FPGA上完成SATA的操作,急需SATA的HOST与DEVICE的IP核。由于可以使用部分项目经费,所以重金求购SATA IP核。在网上查了一下,有
2014-02-07 10:34:53

请问GTH支持SATA 3.0吗?

嗨,我的问题:GTH支持SATA 3.0吗?我的FPGA器件是v7-690tffg1761,只有GTH可用。在xilinx
2020-07-26 17:41:45

请问有人在ac701中实现SATA3吗?

嗨,我对AC701板上的SATA主机控制器实现有点困惑。是否可以实施SATA 3或仅限于SATA2。有些信息令人困惑,因为我看到sata 3它需要速度等级3 ARTIX7。有没有人在ac701中实现SATA3?谢谢
2019-10-08 10:08:15

通过Virtex5 FPGA上的SATA连接将数据流式传输到HDD或SSD的可行性

全部:我目前正在探索通过Virtex 5 FPGA上的SATA连接将数据流式传输到HDD或SSD的可行性。我很难找到如何做到这一点的示例,或者它是否可行。到目前为止,我已经找到
2020-06-02 06:28:15

PCI总线协议FPGA实现及驱动设计

PCI总线协议FPGA实现及驱动设计 摘要! 采用FPGA技术! 在公司的flex6000系列芯片上实现了从设备模式pci总线的简化协议!并给出了WIndowsx 系统下的虚拟设备驱动程序
2010-03-12 14:30:2736

构建3-Gbps eSATA/SATA硬件RAID 5解决方

构建3-Gbps eSATA/SATA硬件RAID 5解决方案:Xilinx FPGA 帮助 RAID 架构设计商Accusys 实现创新型 RAID存储
2010-04-11 08:58:1731

构建3-GBPS Esata/sata硬件RAID 5解决方

构建3-GBPS Esata/sata硬件RAID 5解决方案:Xilinx FPGA 帮助 RAID 架构设计商Accusys 实现创新型 RAID存储。
2010-04-25 10:34:1120

基于FPGA的以太网MAC子层协议设计实现

摘 要:介绍了基于现场可编程门阵列(FPGA)的以太网MAC子层协议的硬件实现方法.硬件结构上由控制模块、发送模块和接收模块3个部分组成,发送模块和接收模块采用状态机控制数据发
2010-07-15 11:27:2924

什么是SATA接口,sata接口是什么意思

什么是SATA接口,sata接口是什么意思 SATA的英文全称是:Serial ATA. SATA是Serial ATA的缩写,即串行ATA。这是一种完全不同于并行ATA的新型硬盘接口类型,由于采用串行
2009-05-03 22:16:5813027

SATA接口

  SATA接口 SATA接口:SATA是Serial ATA的缩写,即串行ATA。这是一种完全不同于
2009-12-17 15:45:21997

SATA2,什么是SATA2

SATA2,什么是SATA2 概述         SATA II是芯片巨头Intel英特尔与硬盘巨头Seagate希捷在SATA
2010-04-12 10:57:493286

基于DSP和FPGA的HDLC协议通讯电路设计

摘要:为了实现高速HDLC通讯协议,设计了DSP+FPGA结构的485通讯接口,接口包括DSP、FPGA、485转换等硬件电路,以及DSP与FPGA之间的数据交换程序和FPGA内部状态机;其中DSP用于实现数据控制,FPGA用于实现HDLC通讯协议,DSP与FPGA之间采用XINTF方式,通过双FI
2011-02-25 17:24:3498

基于FPGA的HDLC协议控制器

为了实现军航管制系统中雷达数据的可靠传输,根据 HDLC 协议的帧结构和循环冗余校验(CRC)原理,提出了一种新型的基于并行机制的HDLC协议控制器,讨论采用FPGA新技术实现HDLC协议帧的构成
2011-07-20 16:17:5093

基于SATA硬盘和FPGA的高速数据采集存储系统

为解决现有采集存储系统不能同时满足高速率采集,大容量脱机且长时间持续存储的问题,设计了一种基于SATA硬盘和FPGA的数据采集和存储方案。本设计由AD9627转换芯片,Altera Cyclone系列
2011-11-15 11:35:19169

CRC在SATA通信技术中的应用

提出了一种适合总线协议生成多项式的CRC产生器与校验器的硬件电路实现方法。通过该方法,依照SATA国际I/O标准,编写的CRC产生器与校验器Verilog 代码,已通过VCS的仿真验证,并成功集
2012-02-09 16:35:4618

HDLC协议RS485总线控制器的FPGA实现

介绍了HDLC协议RS485总线控制器的FPGA实现
2012-02-14 14:59:36100

基于SATA协议的CRC32并行算法的研究

在介绍CRC校验原理和传统CRC32串行比特算法的基础上,由串行比特型算法推导出一种CRC32并行算法。并结合SATA协议的要求,完成了SATAⅡ主控制器设计中CRC生成与校验模块的设计。最后
2012-11-07 16:19:3754

SAS-SATA 协议分析仪

Record upload and display SAS and SATA traffic in seconds with either Gigabit Ethernet or PCI Express ...
2015-12-02 16:50:3562

Xilinx TCP_IP协议实现

Xilinx FPGA工程例子源码:Xilinx TCP_IP协议实现
2016-06-07 14:54:5731

FPGA实现嵌入式TCP/IP通信协议

研究了嵌入式TCP/IP通信协议栈在Xilinx FPGA上的实现,介绍了其软硬件的系统组成和原理,提出一种实时操作系统上TCP/IP协议栈的高效工作模式,并在Virtex5 FPGA上移植成功。通过建立测试平台进行数据传输测试,证明其具有稳定、高效的通信性能,为嵌入式设备开发提供了新的思路。
2017-11-17 17:05:017250

基于FPGA的SATAll协议结构层中的物理层设计与实现

•s-1。文中对 SATAII 协议进行了全面的分析,并利用 Xilinx公司的ISE开发工具和 ML507干估板完成了协议的软 IP核编写与调试。 所用 FPGA 型号为XC5VFX70T, 利用其中硬核Rocket IO GTX 实现了高速链路的功能,并使用多级流水线技术进行并行设计以提高整体速度。
2017-11-18 06:48:124467

基于FPGASATA控制器的实现分析

总线的速率达到133 MHz 的时候,并行传输技术已无法抑制串扰带来的误码,基于串行传输技术的SATA 孕育而生,其所采用的高速差分传输技术从根本上解决了因串扰导致的传输误码问题。与PATA 接口相比,SATA 接口优势明显,其使用的接口针脚少,体积小,传输速率快,稳定性好,可靠性高,抗干扰能力强。
2017-11-22 07:46:258437

基于ARM+FPGA的开发平台实现了基于CSMA/CA的MAC协议

竞争,因此该协议的应用也相当广泛。嵌入式技术的发展对MAC协议实现也提供了很好的技术支撑。本文搭建了一种基于ARM和FPGA相结合的嵌入式开发平台,并在此基础上设计与实现了基于CSMA/CA的MAC协议
2017-11-30 09:49:362164

基于CSMA/CA的MAC协议设计实现

嵌入式技术的发展对MAC协议实现也提供了很好的技术支撑。本文搭建了一种基于 ARM 和 FPGA 相结合的嵌入式开发平台,并在此基础上设计与实现了基于CSMA/CA的MAC协议
2018-03-28 08:51:006699

基于FPGA的CPCI系统设计和实现方案

本文提出了一种基于FPGA的CPCI系统的设计和实现,使用廉价FPGA芯片实现CPCI通信协议,同时利用FPGA的可编程特性实现电源控制、灵活中断、外部触发、外部通信等特殊应用的功能,解决了CPCI协议经过CPCI桥时的冲突问题。
2019-01-06 11:37:132443

如何区分SATA 2.0接口与SATA 3.0接口

SATA 2.0跟SATA3.0 区别开,别接错了,如今推出SATA接口SSD均采用SATA3.0,理论传输速度为600 MB/s,老旧SATA2.0 SSD已被淘汰。不过,一些老旧的设备,比如
2019-05-07 15:24:2677668

如何使用FPGA实现TCP IP协议

大部分来自对 TCP/IP 协议数据的处理,因此 CPU 的运算性能逐渐地成为高性能网络通信发展的瓶颈。在这一形势下,为将 CPU 从繁重的 TCP/IP 协议处理负担中解放出来,本论文提出了一种实现 TCP/IP 协议处理的硬件解决方案,即利用 FPGA 本身所具有的密度高、速度快、小
2019-08-16 08:00:0029

sata硬盘是什么意思_sata硬盘跳线设置

本文首先阐述了sata硬盘的概念,其次阐述了SATA硬盘的特点,最后介绍了sata硬盘跳线设置的方法。
2020-04-01 14:29:108332

SATA硬盘的读写速度_SATA硬盘的使用寿命

本文首先阐述了SATA硬盘的读写速度,其次介绍了SATA硬盘的构成与协议,最后介绍了SATA硬盘的使用寿命。
2020-04-01 14:40:0713920

使用一个基于FPGA平台实现不同工业以太网协议的设计方法

对于速度和实时性能要求非常高的协议,在硬件中以专用协议MAC的形式实现实时功能。而协议的其他功能由运行在嵌入式处理器中的软件堆栈来完成,这些处理器可以是在 Cyclone III FPGA实现的Nios II软核处理器。
2020-07-21 17:39:001595

基于FPGA专用板和MEMS强链实现SATA硬盘身份认证系统的设计

门级的FPGA来对SATA硬盘进行身份认证。实现物理加密。密码转换为相应的密钥并以机械结构的形式成为MEMS强链的核心部位,即根据齿轮组的运行完成对密码的比较,来完成对口令的验证,利用PCI9054芯片快速完成
2020-10-25 10:24:241129

如何使用FPGA实现HDLC协议控制器

 为了实现军航管制系统中雷达数据的可靠传输,根据HDLC协议的帧结构和循环冗余校验(CRC)原理,提出了一种新型的基于并行机制的HDLC协议控制器,讨论采用FPGA新技术实现HDLC协议帧的构成
2020-11-04 18:04:1015

如何使用FPGA实现Modbus通信协议

Modbus协议是一个应用广泛的工业现场总线协议,鉴于其简单、开放、帧格式紧凑等优点,于2008年正式成为我国国家标准。介绍一种通过Cyclone系列FPGA实现Modbus RTU模式的方法,首先
2021-01-28 17:22:4832

基于FPGA的TCP/IP协议实现

基于FPGA的TCP/IP协议实现说明。
2021-04-28 11:19:4749

FPGA中配置PLL的步骤及使用方法

FPGA中配置PLL的步骤及使用方法
2021-05-28 10:01:1720

详解串行总线中的SATA协议

书接上回-《串行总线技术(一)-串行总线结构(以PCIe为例)》《串行总线技术(二)-串行总线中的先进设计理念及SerDes/PMA介绍》,今天详解SATA协议。 简介SATA(Serial
2021-11-01 10:53:588354

基于FPGA的SPI协议及设计实现

基于FPGA的SPI协议及设计实现博主微信:flm13724054952,不懂的有疑惑的也可以加微信咨询,欢迎大家前来投稿,谢谢!引言介绍在电子通信领域里采用的通信协议有IIC,SPI,UART
2021-11-05 19:05:5922

SATA引脚定义与硬盘电源电压

区分:SATA2 与 SATA3 接口定义完全一致,从外观上并不能区分。总线协议SATA只是接口形式,SATA硬盘使用的总线为 PCIe x2,数据传输协议为 AHCI。AHCI 全称Serial
2022-01-05 14:20:4512

Xilinx FPGA平台GTX简易使用教程(一)

xilinx的7系列FPGA根据不同的器件类型,集成了GTP、GTX、GTH、GTZ四种串行高速收发器,可以支持多种协议如PCI Express,SATA,JESD204B等。
2022-03-01 17:17:203769

美光5400 SATA SSD正式发布

美光 5400 SATA SSD 于近日正式发布,这意味着我们成功将美光前沿的 176 层 NAND 技术引入到数据中心 SATA 平台。尽管科技圈往往聚焦于新技术、新协议与新架构,但众所周知,当前SATA 平台仍然大量投资关键基础架构。虽然它们也想应用最新技术,但尚未做好更新迭代的准备。
2022-07-05 17:14:201031

FPGA实现SPI

FPGA实现SPI协议
2023-03-20 10:35:020

FPGA和DSP两种处理器之间实现SRIO协议的方法

摘要: 现代 信号 处理系统通常需要在不同处理器之间实现高速数据 通信 ,SRIO协议由于高效率、低延时的特性被广泛使用。本文研究了在 FPGA 和 DSP 两种处理器之间实现SRIO协议的方法
2023-03-20 15:00:011324

揭开M.2接口的神秘面纱:NVMe协议SATA协议的差异

M.2接口是一种新型的固态硬盘接口,可替代传统的MSATA接口规范。在国产主板上,M.2接口通常分为NVMe协议SATA协议两种类型。这两种协议的主要区别在于它们所使用的接口速度和协议
2023-05-15 14:19:064999

怎么看笔记本硬盘接口是sata2还是sata3

SATA3属于SATA2下一代升级版,往下完全兼容,其和USB3.0和USB2.0关系一样,两者是互相兼容的。不同的是,SATA3和SATA2传输速率不同,最新的SATA3传输速率可以达到6Gb
2022-07-28 15:33:1412047

量子计算机仿真器Qulacs的多SATA FPGA实现

量子计算机仿真器Qulacs的多SATA FPGA实现 演讲ppt分享
2023-07-17 16:34:155

TCP和UDP协议实现方法

目前TCP协议大多由cpu跑代码实现, 这次用FPGA的纯逻辑实现 , System Verilog编写,下面给大家粗略讲一下我的实现方法,下面是工程的示意图。
2023-08-30 10:46:43414

基于FPGA的SRIO协议设计

本文介绍一个FPGA常用模块:SRIO(Serial RapidIO)。SRIO协议是一种高速串行通信协议,在我参与的项目中主要是用于FPGA和DSP之间的高速通信。有关SRIO协议的详细介绍网上有很多,本文主要简单介绍一下SRIO IP核的使用和本工程的源代码结构。
2023-09-04 18:19:18683

SATA3.0 Host Controller IP介绍

SATA3.0 Host IP不仅实现SATA协议的PHY(物理层)、link(链路层)和TRN(传输层),并且实现了CMD(命令层)和APP(应用层),支持1.5、3和6Gbps传输速率
2024-02-27 15:53:090

已全部加载完成