0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

基于FPGA的SRIO协议设计

CHANBAEK 来源:FPGA Zone 作者:FPGA Zone 2023-09-04 18:19 次阅读

本文介绍一个FPGA常用模块:SRIO(Serial RapidIO)。SRIO协议是一种高速串行通信协议,在我参与的项目中主要是用于FPGA和DSP之间的高速通信。有关SRIO协议的详细介绍网上有很多,本文主要简单介绍一下SRIO IP核的使用和本工程的源代码结构。

由于Vivado中RapidIO IP核需要付费才能使用,因此本文提供完整工程源码。

01软硬件平台

  • 软件平台:Vivado 2017.4;
  • 硬件平台:XC7K410T...;

02IP核参数配置

本工程SRIO IP核参数配置如下图所示,仅供参考:

图片

图片

图片

03工程源码结构

下图是SRIO工程源码结构:

图片

其中,

  • SRIO_Auto_rst_Mod:SRIO自动复位模块;
  • srio_request_gen:SRIO请求事务生成模块;
  • srio_response_gen:SRIO响应事务生成模块;
  • RapidIO_switch_maintr_mod & srio_quick_start:SRIO维护事务生成模块。

04实现功能

该FPGA SRIO模块采用NWRITE类型事务往DSP目的地址传输数据,每包数据大小为256字节。数据写入完毕后,通过DOORBELL事务给DSP发送数据接收中断。另外FPGA端通过RapidIO IP核m_axis_treq接口接收来自DSP的数据。

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • FPGA
    +关注

    关注

    1603

    文章

    21326

    浏览量

    593242
  • 通信协议
    +关注

    关注

    28

    文章

    739

    浏览量

    39749
  • sRIO
    +关注

    关注

    1

    文章

    29

    浏览量

    20795
  • 串行通信
    +关注

    关注

    4

    文章

    535

    浏览量

    35023
  • Vivado
    +关注

    关注

    18

    文章

    790

    浏览量

    65103
收藏 人收藏

    评论

    相关推荐

    FPGA优质开源模块-SRIO IP核的使用

    本文介绍一个FPGA常用模块:SRIO(Serial RapidIO)。SRIO协议是一种高速串行通信协议,在我参与的项目中主要是用于
    的头像 发表于 12-12 09:19 1045次阅读
    <b class='flag-5'>FPGA</b>优质开源模块-<b class='flag-5'>SRIO</b> IP核的使用

    请问C6670 SRIO能否连接两片FPGA,每个为SRIO X2 lane?

    本帖最后由 一只耳朵怪 于 2018-6-19 15:39 编辑 因为项目需求,一片C6670需要连接到两片FPGA,但是又觉得没必要使用SRIO SWITCH。所以我的问题是:C6670
    发表于 06-19 00:53

    C6672与FPGASRIO通信问题

    请教SRIO通信问题,6672和FPGASRIO通信,2个lane连接,现象如下:  (1)两端都配置成2x,3.125G,FPGA显示port initial成功,link ini
    发表于 06-21 13:52

    SRIO实现DSP与FPGA通信

    我在做fpga与dsp的SRIO通信,我用的是论坛上提供的SRIO test程序,目前dsp端能够实现端口0的外部回环测试。fpga端的协议
    发表于 06-21 10:45

    6678 SRIO链路信号完整性测试方法

    ; FPGA         1、STK中的external line loopback工作方式好像满足我的需求,但似乎前提是连接双方必须在SRIO协议基础上建立物理层的连接才可以进行测试。由于
    发表于 06-21 06:25

    FPGA与DSP间的SRIO无法正确传输成功

    CCS5.5DSP 6657FPGA XC7Z030FPGAFPGA 之间SRIO传输成功;DSP 与 DSP 之间SRIO传输成功;
    发表于 01-10 11:17

    srio协议将数据从我的模块发送到其他模块怎么实现?

    嗨, 我想通过srio协议将数据从我的模块(fpga)发送到其他模块(tc6678texas处理器)。我想知道是否有任何中断来启动转移?感谢致敬M LOKESWARA REDDYBEL
    发表于 04-30 09:31

    基于SRIO协议的板级芯片互联技术

    本文介绍了这种基于SRIO互联技术的高速实时数据处理硬件平台,并在该平台上研究了多DSP之间、DSP与FPGA之间的SRIO通信技术。
    发表于 01-10 15:07 3608次阅读
    基于<b class='flag-5'>SRIO</b><b class='flag-5'>协议</b>的板级芯片互联技术

    基于C66x+FPGASRIO开发视频教程

    本文为您分享基于C66x+FPGASRIO开发视频教程,适用于创龙TMS320C6678、TMS320C665x、Kintex-7、Artix-7平台。
    发表于 07-01 10:47 1782次阅读

    可用的SRIO RapidIO (SRIO)验证平台

    目前具备SRIO接口的硬件不多,推荐广州星嵌电子科技有限公司开发的DSP+FPGA+RAM开发板XQ6657Z35-EVM。
    的头像 发表于 11-08 17:22 820次阅读
    可用的<b class='flag-5'>SRIO</b> RapidIO (<b class='flag-5'>SRIO</b>)验证平台

    SRIO IP核的三层协议的作用?

    数据从远程设备(假设为DSP的SRIO端)传输过来,FPGA端(假设我们这端为FPGASRIO端口)通过RX接收到串行数据,先到达物理层进行时钟恢复,串并转换,之后进行8b/10b解
    的头像 发表于 03-03 10:19 757次阅读

    FPGA和DSP两种处理器之间实现SRIO协议的方法

    摘要: 现代 信号 处理系统通常需要在不同处理器之间实现高速数据 通信 ,SRIO协议由于高效率、低延时的特性被广泛使用。本文研究了在 FPGA 和 DSP 两种处理器之间实现SRIO
    的头像 发表于 03-20 15:00 1409次阅读

    SRIO IP核的三层协议的作用解析

    SRIO这种高速串口复杂就复杂在它的协议上,三层协议:逻辑层,传输层以及物理层。 数据手册会说这三层协议是干什么的呢?也就是分工(【FPGA
    的头像 发表于 04-25 11:20 1260次阅读
    <b class='flag-5'>SRIO</b> IP核的三层<b class='flag-5'>协议</b>的作用解析

    srio交换芯片是什么?srio交换芯片的原理和作用

    SRIO(Serial RapidIO)交换芯片是一种高性能的通信芯片,专门设计用于实现基于SRIO协议的数据交换和传输。SRIO是一种点对点串行通信
    的头像 发表于 03-16 16:40 1873次阅读

    FPGASRIO调试步骤

     FPGA(现场可编程门阵列)和DSP(数字信号处理器)之间通过SRIO接口进行调试通常需要以下步骤。
    的头像 发表于 04-19 11:48 216次阅读