电子发烧友App

硬声App

0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

电子发烧友网>可编程逻辑>FPGA/ASIC技术>基于赛灵思FPGA的快速9/7整形离散小波变换系统设计

基于赛灵思FPGA的快速9/7整形离散小波变换系统设计

12下一页全文
收藏

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

评论

查看更多

相关推荐

7系列FPGA芯片-的“雄韬伟略”

本帖最后由 ycq654263138 于 2012-9-21 16:32 编辑   电子发烧友网讯:FPGA 7系列芯片正以燎原之势席卷整个行业。在本文,电子发烧友网小编将带领大家一起
2012-09-21 13:46:16

FPGA LX9 MicroBoard成为学习FPGA的另一低成本方法

Spartan-6 FPGA LX9 MicroBoard包括:Spartan-6 XC6SLX9-2CSG324C FPGA 64兆字节LPDDR SDRAM128兆位多I/O SPI
2017-02-10 17:12:21

FPGA 实现小变换

如何用FPGA来实现97整型小变换,各位有相关的资料吗?
2008-10-15 09:34:06

FPGA提供快速、简单、零风险的成本降低方案

FPGA提供快速、简单、零风险的成本降低方案 EasyPath-6 FPGA仅六周即可针对高性能Virtex-6 FPGA提供快速、简单、零风险的成本降低方案公司 (Xilinx
2012-08-11 18:17:16

FPGA是Xilinx好,还是Altera好?

, 你是无法说好或者不好的。就像孩子看电影电视, 常常问:这个是好人还是坏人? 我们不能给出精确的结论。在FPGA市场领域, 公司是FPGA的发明者, 无晶圆代工模式的先锋,也是积极把FPGA
2012-02-28 09:59:27

FPGA是用altera多还是的多呢

FPGA是用altera多还是的多呢,我买的开发板是altera的,但是很多人推荐说学习的好
2016-01-09 21:27:25

FPGA的发展现状如何?

FPGA的发展现状如何?推出的领域目标设计平台如何简化设计、缩短开发时间?
2021-04-08 06:18:44

FPGA设计之浮点DSP算法实现【工程师作品】

FPGA设计之浮点DSP算法实现,DSP算法是很多工程师在设计过程中都会遇到的问题,本文将从FPGA设计的角度来讲解浮点DSP算法的实现。FPGA设计之浮点DSP算法实现是工程师最新力作,资料不可多得,大家珍惜啊1FPGA设计之浮点DSP算法实现[hide][/hide]
2012-03-01 15:23:56

FPGA设计时序约束指南【工程师力作】

的一条或多条路径。在 FPGA 设计中主要有四种类型的时序约束:PERIOD、OFFSET IN、OFFSET OUT 以及 FROM: TO(多周期)约束。FPGA设计时序约束指南[hide][/hide]`
2012-03-01 15:08:40

变换系统中发生腐蚀的主要原因是什么?

变换系统中发生腐蚀的主要原因是什么?氨合成反应分为哪几种反应?
2021-07-22 07:11:40

7系列采用FPGA电源模块

。ROHM与安富利公司共同开发7系列FPGA及Zynq®–7000 All Programmable SoC的评估套件Mini-Module Plus 用的电源模块。安富利公司已经开发出多款
2018-12-04 10:02:08

FPGA原理图例子之s3astarter

`FPGA原理图例子之s3astarter 一向是FPGA领域里的领先者,运用FPGA需要深入的理解它的工作原理,小编亲子整理了s3astarter 的经典fpga原理图分享给电子工程师们。FPGA原理图例子之s3astarter [hide][/hide]`
2012-03-16 10:41:19

FPGA对DLP数字影院投影仪产生了哪些影响?

公司(Xilinx)日前宣布NEC子公司NEC Display Solutions有限公司的三款DLP数字影院投影仪产品,均采用了Virtex®-5 FPGA系列产品。
2019-08-19 07:12:03

FPGA设计大赛参赛者自评分表格下载

FPGA设计大赛参赛者自评分表格下载自评分表填写指引:参赛者须于提交设计作品时一并呈交自评分表。每一个参赛作品最高可获得10分自评分。请在适当的方格上打勾。参赛者作品自评分表格下载:[hide
2012-04-24 15:07:27

FPGA该怎么应对内窥镜系统架构的挑战?

  什么是FPGA?如何帮助内窥镜制造商克服复杂的设计约束,生产出极具竞争优势的产品?如何帮助他们成功构建外形小巧的低功耗内窥镜摄像头、高性价比的摄像机控制单元(CCU),以及多功能、低成本的图像管理设备?  
2019-09-17 06:31:55

fpga设计比赛火爆进行中

fpga设计比赛于4月23日上线,得到了广大电子工程师特别是fpga爱好者的大力关注和广泛支持。本次大赛支持个人报名和团体报名,其中团队报名数量达到了20个团队。还没有参加比赛的电子工程师
2012-06-06 14:49:12

ISE® 设计套件11.1版对FPGA有什么优化作用?

每一版本都提供了完整的FPGA设计流程,并且专门针对特定的用户群体(工程师)和特定领域的设计方法及设计环境要求进行了优化。那大家知道ISE® 设计套件11.1版对FPGA有什么优化作用吗?
2019-07-30 06:52:50

Spartan开发板使用困境记录 精选资料分享

Spartan开发板使用困境记录原理图和接口主要是对照核心板的原理图,一般的接法就是系列的单片机,连接好电源和下载器,记得预先安好驱动,驱动安装成功与否能够在设备管理器处查看。作者困境
2021-07-13 08:42:10

Verilog(FPGACPLD)设计小技巧

Verilog(FPGACPLD)设计小技巧
2012-08-19 22:52:02

Virtex-6 HXT FPGA ML630提供参考时钟电路图

Virtex-6 HXT FPGA ML630评估套件采用SiTime电子发烧友振具体型号为:SIT9102AI-243N25E200.0000,而目前针对这一型号sitime推出了抖动更低
2014-11-17 15:07:35

Zynq-7000可扩展处理平台让编程流程更简单

Zynq-7000可扩展处理平台(EPP)将双ARM Cortex-A9 MPCore处理器系统与可编程逻辑和硬IP外设紧密集成在一起,提供了灵活性、可配置性和性能的完美组合。围绕其刚刚推出
2019-05-16 10:44:42

公司亚太区销售与市场副总裁给XILINX客户的信

尊敬的客户朋友们:在此,我谨代表公司与您分享一个激动人心的喜讯: 3 月1 日,公司宣布全球第一片28nmFPGA 芯片(7K325T) 成功量产了!该里程碑式信息的发布,不仅是
2012-03-22 15:17:12

推出全球最大容量的FPGA – Virtex UltraScale+ VU19P

自适应和智能计算的全球领先企业公司(Xilinx, Inc.,(NASDAQ:XLNX))今天宣布推出全球最大容量的 FPGA – Virtex UltraScale+ VU19P,从而进一步
2020-11-02 08:34:50

有哪几种ISE设计套件配置版本 ?

有哪几种ISE设计套件配置版本 ?
2021-04-30 06:30:50

FPGA用什么开发工具编程,有没有大佬分享一下安装包

FPGA用什么开发工具编程,有没有大佬分享一下安装包
2018-05-24 17:51:38

的DDR3读写地址一直重复怎么办?

最近在用的DDR3,用的AXi4接口,我写入的地址是按照突发长度来的,连续给8个读的地址,但是在DDR3端,dq_addr 一直在1418,1000,1010,0003,0002 等几个地址中
2016-06-24 10:38:18

高性能40nm Virtex-6 FPGA系列通过全生产验证

【来源】:《电子设计工程》2010年02期【摘要】:<正>公司与联华电子共同宣布,采用联华电子高性能40nm工艺的Virtex-6FPGA,已经完全通过生产前的验证
2010-04-24 09:06:05

(XILINX)全新7系列FPGA详述

(XILINX)全新7系列FPGA详述
2012-08-14 12:20:22

AMD正收购Xilinx,规模或超300亿美元

猎芯网人士称,这项交易最快可能在下周敲定。目前,的市值约为260亿美元,今年以来该公司股价累计上涨约9%,略高于标普500指数7%的涨幅。而AMD股价在今年累计上涨了 89%,目前的市值超过
2020-10-10 15:41:19

EIMKT求购Xilinx()微处理器 原装现货

。Xilinx()微处理器是全球领先的可编程逻辑完整解决方案的供应商,具有广泛的高级集成电路、软件设计工具以及作为预定义系统级功能的IP核,其产品被广泛运用在无线电话基站、DVD播放机的数字电子应用技术中
2019-10-18 11:46:45

XilinxFPGA技术及应用线上公开课

` 本帖最后由 MGJOY 于 2017-4-10 15:07 编辑 本周三,4月12日,FPGA技术及应用线上公开课。欢迎大家观看、学习交流~分享主题【FPGA人工智能领域技术及应用】嵌入式视觉领域技术和解决方案机器学习方面的技术和解决方案ADAS/自动驾驶方面的应用`
2017-04-10 15:06:16

Xilinx方案

能做方案的,请联系
2019-01-21 19:31:40

”抢楼活动第二轮,中奖楼层公布!

`{:4_122:}{:4_122:}抢楼啦!!“”抢楼活动第二轮中奖楼层公布号外号外{:4_104:}:为了答谢各位坛友们的大力支持,我和我的小伙伴们决定在增加5个中奖楼层,让各位中奖的几率
2013-10-11 10:40:34

“看视频 聊感悟 赢话费”抢楼行动现在开始!

抢楼行动现在开始!三、活动时间: 第1轮:9月12日—9月27日四、活动礼品: 《嵌入式系统软硬件协同设计实战指南:基于Xilinx Zynq》书籍一本+10元话费(移动、联通、电信均可
2013-09-11 19:01:57

“看视频 聊感悟 送好礼”抢楼行动现在开始!

一、活动名称:“研讨会视频点播”抢楼活动二、活动口号: “看视频聊感悟 送好礼”抢楼行动现在开始!三、活动时间: 第1轮:9月12日—9月27日四、活动礼品:10元话费(移动、联通、电信
2013-09-11 18:53:20

【AD新闻】新CEO访华绘蓝图,7nm ACAP平台要让CPU/GPU难企及

系列,为用户从端点到边缘再到云端多种不同技术的快速创新提供支持。 Peng的战略包括三大要点: “数据中心加速”提为发展新重点: 正在加强与关键数据中心客户、生态系统合作伙伴及软件应用开发商
2018-03-23 14:31:40

【PYNQ-Z2申请】基于PYNQ-Z2平台的图像实时力学测量

PYNQ-Z2平台完善该项目的开源设计,并进一步提升性能。项目计划①根据文档,对赛PYNQ-Z2快速入门②通过学习PYNQ-Z2的软件和系统,了解实际应用案例,熟悉开发过程③基于PYNQ-Z2
2019-01-09 14:49:25

为什么说已经远远领先于Altera?

Altera和20年来都在FPGA这个窄众市场激烈的竞争者,然而Peter Larson基于对两个公司现金流折现法的研究表明,是目前FPGA市场的绝对领先者。
2019-09-02 06:04:21

什么是丰富目标设计平台?

今年年初,率先在FPGA领域提出目标设计平台概念,旨在通过选用开放的标准、通用的开发流程以及类似的设计环境,减少通用工作对设计人员时间的占用,确保他们能集中精力从事创新性的开发工作。
2019-08-13 07:27:15

使用MATLAB & Simulink Add-on插件面向Versal AI引擎设计

MATLAB & Simulink Add-on插件是将 ModelComposer 和 System Generator forDSP完美结合的统一工具。
2021-01-28 06:33:40

利用 Artix-7 FPGA 设计高性能 USB 器件

有着严格的浪涌电流和稳态工作电流限值要求,因此由总线供电的器件应用经常忽视FPGA,而是更愿意采用性能和灵活性都不及 FPGA 的微控制器解决方案。随着低功耗系列器件中最新成员Artix-7
2016-07-27 17:14:50

哪位大神能提供款的捕捉频率高于400m,LVDS引脚数有130个,初学者请多多指教

哪位大神能提供款的捕捉频率高于400m,LVDS引脚数有130个,初学者请多多指教
2015-08-07 08:58:08

回收Xilinx芯片 收购芯片

回收Xilinx带板芯片, 回收工厂XILINX系列IC:XC3S1500FGG676EGQ、XC5VLX50-1FFG676、XC5VLX110-1FFG676C
2021-12-17 10:02:19

回顾Elecfans开放日之“跟安富利学FPGA的工业应用“

Programmable技术,助力智能工业系统”15:00-15:10 休息时间15:10-16:30 自由分享+主题讨论16:30-17:00 结束【活动奖品】黑色双肩包,圆珠笔,笔记本【活动咨询】活动咨询
2013-11-01 13:48:38

FPGA中使用ARM及AMBA总线

国外的融合技术专家展示了一项基于FPGA的数据采集系统,用于合成孔径成像技术。采用了Xilinx ISE设计软件,支持ARM AMBA AXI4接口。文风犀利,观点新颖,FPGA中使用ARM及AMBA总线中不可多得的资料在FPGA中使用ARM及AMBA总线[hide][/hide]
2012-03-01 15:48:17

基于FPGA的EtherCAT主站运动控制

基于FPGA的EtherCAT主站总线控制 ,论坛有做运动控制这方面的技术吗?目前我已实现带32轴同步运行,同步抖动±75ns,控制精度125us。感兴趣的可以一起探讨下
2018-07-23 12:00:39

基于FPGA的卷积神经网络实现设计

作者:Nagesh Gupta 创始人兼 CEOAuviz Systems Nagesh@auvizsystems.com凭借出色的性能和功耗指标, FPGA 成为设计人员构建卷积神经网络
2019-06-19 07:24:41

基于Virtex-5 FPGA的LTE仿真器设计

和功能测试覆盖了完整LTE协议栈及其应用。射频前端采用本地多输入多输出(MIMO)设计,可支持5MHz、10MHz、15MHz和20MHz多种不同带宽。  这个仿真器中心采用三个Virtex®-5
2019-06-17 06:36:10

如何使用FPGA加速包处理?

FAST包处理器的核心功能是什么如何使用FPGA加速包处理?
2021-04-30 06:32:20

如何利用28纳米工艺加速平台开发?

全球可编程逻辑解决方案领导厂商公司 (Xilinx Inc.) 宣布,为推进可编程势在必行之必然趋势,正对系统工程师在全球发布新一代可编程FPGA平台。和前代产品相比,全新的平台功耗降低
2019-08-09 07:27:00

怎么利用FGPA实现降采样FIR滤波器?

怎么利用FGPA实现降采样FIR滤波器?这种滤波器在软件无线电与数据采集类应用中都很常见。
2019-08-15 08:21:22

怎样去设计97二维离散变换系统

怎样去设计97二维离散变换系统?如何对97二维离散变换系统进行仿真?
2021-05-07 07:09:09

提交FPGA设计方案,赢取FPGA开发板

“玩转FPGA:iPad2,开发板等你拿”活动持续火爆进行中……………………活动得到了广大电子工程师积极强烈的支持,为了回报电子工程师和网站会员,现在只需提交fpga设计方案,就有机会获得
2012-07-06 17:24:41

的开发环境ISE软件下载地址

刚开始学FPGA,求他的ISE软件下载地址,我在网上没搜到。谢谢了
2012-08-02 09:52:12

求xilinx的XC7V2000T这块FPGA的开发板原理图,万谢

请问各位大神,谁有XC7V2000T这块FPGA的开发板原理图,求一份,多谢
2015-09-07 17:05:03

玩转FPGA (xilinx)FPGA设计大赛圆满结束

  三等奖奖品: 电子发烧友T恤+小礼品  大赛获奖名单    一等奖      姚佳毅(yjysdu)——车牌定位号与识别系统    二等奖      马崇鹤(lerking) ——基于FPGA
2012-09-06 11:52:48

玩转FPGA (xilinx)FPGA设计大赛获奖名单!!!

本帖最后由 ycq654263138 于 2012-9-12 10:12 编辑   电子发烧友网讯:由(xilinx)公司和华强PCB网赞助,电子发烧友网主办的玩转FPGA
2012-09-06 11:54:16

玩转FPGA,FPGA设计大赛开赛啦

与技术学院教授史治国:浙江大学信息与电子工程学系副教授徐文:毕业于北京邮电大学硕士研究生,FPGA图书作者活动奖品一等奖二等奖三等奖幸运奖1名5名10名若干iPad2+Xilins Spartan-6开发板Xilins Spartan-6开发板电子发烧友T恤官方期刊杂志`
2012-04-23 09:31:16

玩转FPGA,FPGA设计大赛活动细则,参赛必看

7月21日—7月31日评选获奖作品,颁发奖品大赛规则:报名参加赛FPGA设计大赛参赛者可任意选择FPGA芯片型号作为参赛芯片进行设计。设计作品的应用领域不限制,可以任意选择应用领域。鼓励
2012-04-24 14:40:58

详解All Programmable Smarter Vision解决方案

详解All Programmable Smarter Vision解决方案
2021-06-02 06:56:12

请问FPGA的SoC将朝什么趋势发展?

过去一年中,FPGA巨头(Xilinx)在中国大举构建生态系统,其速度和力度让人吃惊。2006年末,公司董事会主席、总裁兼CEOWimRoelandts来华宣布了“促进中国电子设计创新
2019-10-28 06:10:28

这颗是限制料还是翻新料?

丝印查不到系列型号,引脚数量也对不上所有型号规格,也没有韩国产地
2023-02-24 17:01:32

选择(Xilinx)FPGA 7系列芯片的N个理由

  电子发烧友网讯:FPGA 7系列芯片正以燎原之势席卷整个行业。在本文,电子发烧友网小编将带领大家一起走近Xilinx的FPGA 7系列芯片,从全新FPGA 7系列芯片的介绍、芯片优点、芯片
2012-09-06 16:24:35

采用FPGA实现DisplayPort详细教程【内部资料】

公司高级产品营销经理 Neal KendallQuantum Data市场营销经理采用FPGA实现DisplayPort详细教程【内部资料】[hide][/hide]
2012-03-01 11:10:18

采用Xilinx FPGA加速机器学习应用

全球领先的中文互联网搜索引擎提供商百度正在采用FPGA加速其中国数据中心的机器学习应用。两家公司正合作进一步扩大FPGA加速平台的部署规模。新兴应用的快速发展正日渐加重计算工作的负载,数据中心
2016-12-15 17:15:52

高价回收系列IC

高价回收系列IC长期回收系列IC,高价求购系列IC。深圳帝欧长期回收ic电子料,帝欧赵生***QQ1816233102/879821252邮箱dealic@163.com。帝欧回收
2021-04-06 18:07:50

高性能GSPS ADC为基于FPGA的设计解决方案带来板载DDC功能

的混叠现象。单个8抽取DDC能够使Artix-7 FPGA系统可支持的ADC数量提高至四倍。将输入信号通过混频降至基带时,由于过滤了负像,因而会出现6 dB的信号损失。NCO还会额外产生一个
2019-06-14 05:00:09

:“玩转FPGA (xilinx)FPGA设计大赛”获奖奖品展示

  电子发烧友网讯:由(xilinx)公司和华强PCB网赞助,电子发烧友网主办的玩转FPGA设计大赛已经圆满结束。本活动获奖名单已经公布,详见:玩转FPGA (xilinx
2012-09-06 14:33:50

Xilinx/ XCS40XL-5PQ240C FPGA现场可编程逻辑器件 IC FPGA 192 I/O 240QFP

品牌XILINX/封装240-PQFP批次08+数量3500湿气敏感性等级 (MSL)3(168 小时)产品族嵌入式 - FPGA(现场可编程门阵列)系列Spartan®-XLLAB/CLB
2022-04-19 09:45:33

离散傅里叶变换及其快速算法

离散傅里叶变换及其快速算法离散傅里叶变换 (Discrete Fourier Transform,DFT)是时间函数是离散的,而且频谱函数也是离散变换。3. 1  讨论周期序列的 傅里叶级数及其性质。
2008-10-30 12:54:5433

XC7A50T-1FGG484C FPGA可编程逻辑器件XILINX/

XC7A50T-1FGG484C FPGA可编程逻辑器件XILINX/ALINX SoM AC7A50T,基于Artix-7 XC7A50T-1FGG484C,由FPGA + 2 DDR3
2022-06-17 17:53:59

790.被并入AMD对中国FPGA厂商有什么意义?

fpga
小凡发布于 2022-10-05 02:52:44

9/7二维离散小波变换系统设计及FPGA实现

  美国空间数据系统咨询委员会(简称CCSDS)于2005年推出一套适用于空间领域的图像压缩标准,标准使用了离散小波变换为核心算法,推荐使用9/7整数离散小波变换实现无损图像压
2010-09-08 10:25:461282

基于FPGA快速9/7整形离散小波变换系统

美国空间数据系统咨询委员会(简称CCSDS)于2005年推出一套适用于空间领域的图像压缩标准,标准使用了离散小波变换为核心算法,推荐使用9/7整数离散小波变换实现无损图像压缩,由于该算法结构简单,易于硬件设计实现,因此可以用FPGA来实现提升小波算法。
2011-01-25 21:33:331510

有限长离散变换-离散傅里叶变换

离散傅里叶变换是一种在时域和频域均离散的傅里叶变换.
2011-02-23 09:30:1049

离散傅里叶变换(DFT)及其快速算法(FFT)

第2章-离散傅里叶变换(DFT)及其快速算法(FFT)
2016-12-28 14:23:300

离散傅里叶变换及其快速计算方法

离散傅里叶变换及其快速计算方法
2016-12-28 14:23:302

一类离散时滞切换系统的稳定性分析_曹莹

一类离散时滞切换系统的稳定性分析_曹莹
2017-03-15 09:30:390

基于FPGA快速9/7整形离散小波变换系统的设计过程与仿真

CCSDS图像数据压缩标准中采用9/7整形离散小波变换为核心算法,该算法结构简单,易于硬件设计实现。文中基于FPGA设计实现了9/7整数离散小波变换系统,设计中使用内部RAM存储方式,减小了对存储器
2017-11-24 14:26:23915

已全部加载完成