电子发烧友App

硬声App

0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

电子发烧友网>可编程逻辑>FPGA/ASIC技术>Imagination携手Xilinx推出MIPSfpga计划

Imagination携手Xilinx推出MIPSfpga计划

12下一页全文
收藏

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

评论

查看更多

相关推荐

XilinxFPGA平台计划

美国赛灵思公司推出一项针对未来高性能可编程解决方案的FPGA平台计划,为嵌入式系统提供高度灵活、可加快上市时间的解决方案。
2011-12-15 10:31:55592

“玩转FPGA 赛灵思(xilinxFPGA设计大赛”获奖奖品展示

电子发烧友网讯: 由赛灵思(xilinx)公司和华强PCB网赞助,电子发烧友网主办的玩转FPGA,赛灵思设计大赛已经圆满结束。本活动获奖名单已经公布,详见: 玩转FPGA 赛灵思(xilinx)F
2012-09-06 13:22:025959

Apple:目前没计划收购Imagination

苹果(Apple)日前表示,虽然曾经与处理器IP授权业者Imagination Technologies洽谈收购事宜,但并没有在“这个时候”提出收购邀约的计划
2016-03-25 08:16:54405

MIPSfpga软核处理器IP设计方案

很多计算机专业的学生都只是在理论层次学习计算机体系结构方面的知识,比如数据路径、控制结构和存储系统等,但是如何将这些组合起来则完全靠学生的想象力。MIPSfpga的出现让这一切迎刃而解,它不同于其他
2018-05-21 10:17:017346

Imagination加入百度飞桨“硬件生态共创计划

中国北京,2022年5月20日 – Imagination Technologies在今日隆重举行的“Wave Summit 2022”大会上宣布:携手百度飞桨(PaddlePaddle)及多家
2022-05-20 18:10:091167

Xilinx系列FPGA SelectIO简介

FPGA是电子器件中的万能芯片,Xilinx FPGA处于行业龙头地位更是非常灵活。FPGA管脚兼容性强,能跟绝大部分电子元器件直接对接。Xilinx SelectIO支持电平标准多,除MIPI
2022-08-02 09:31:284824

XILINX FPGA IP之Clocking Wizard详解

锁相环基本上是每一个fpga工程必不可少的模块,之前文档xilinx 7 系列FPGA时钟资源对xilinx fpga的底层时钟资源做过说明,但是对于fpga的应用来说,使用Clocking Wizard IP时十分方便的。
2023-06-12 17:42:032883

Xilinx FPGA的GTx的参考时钟

本文主要介绍Xilinx FPGA的GTx的参考时钟。下面就从参考时钟的模式、参考时钟的选择等方面进行介绍。
2023-09-15 09:14:261956

FPGA技巧Xilinx

本帖最后由 lee_st 于 2017-10-31 08:58 编辑 FPGA技巧Xilinx
2017-10-21 20:30:04

FPGA技巧Xilinx

FPGA技巧Xilinx,,
2017-09-28 13:45:41

FPGA设计高级技巧 Xilinx

FPGA设计高级技巧 Xilinx
2024-01-08 22:15:53

FPGA设计高级技巧Xilinx

FPGA设计高级技巧Xilinx
2012-08-11 16:07:48

FPGA设计高级篇(Xilinx版)

FPGA设计高级篇(Xilinx版)
2017-09-28 14:01:39

Imagination为什么要加入FIDO联盟?

为什么Imagination要加入FIDO联盟?
2021-01-26 07:53:54

Imagination的光线追踪等级系统介绍

Imagination的光线追踪等级系统
2020-12-21 06:02:41

XILINX FPGA 芯片整体架构是如何构成的

XILINX FPGA 芯片整体架构是如何构成的?XILINX FPGA 芯片有哪些资源?
2021-10-29 06:26:23

XILINX FPGA/CPLD ISE详细下载教程

XILINX FPGA/CPLD ISE下载教程 第一章 XILINX FPGA/CPLD ISE下载教程——下载.bit文件第二章 XILINX FPGA/CPLD ISE下载教程——烧录Flash 图文详细资料!
2019-08-15 00:32:31

XILINX FPGA和Altera的相关资料推荐

本本将从常见的XILINX FPGA和Altera 两家FPGA的电源供电作如下介绍:XILINX FPGAFPGAFPGA
2021-12-28 06:38:44

Xilinx FPGA

请问有谁用过xilinx的ISE软件来搞FPGA的编程吗?怎么用这个软件啊,老师演示了一次,但是太复杂了。。。
2013-09-24 23:14:36

Xilinx FPGA无痛入门,海量教程免费下载

`Xilinx FPGA无痛入门,海量教程免费下载 无私的特权同学为您送上85个课时的文档教程,35个经典例程,百度网盘可以免费下载:http://pan.baidu.com/s/1jGjAhEm
2015-07-22 11:49:20

Xilinx_fpga_设计流程

Xilinx_fpga_设计流程
2012-08-02 23:51:05

xilinx推出TDP平台,你了解么?

的。众所周知,之前Xilinx已经有针对嵌入式(Micro Blaze软核)以及DSP(Xtreme系列)的开发平台,但并没有结合在一起。此次Xilinx推出的目标设计平台(target design
2009-08-03 14:38:51

Altera、Xilinx、Actel这些芯片公司的FPGA系列产品都有哪些特点?

年开始陆续推出。  简评:成本低廉,总体性能指标不是很优秀,适合低成本应用场合,是Xilinx未来几年在低端FPGA市场上的主要产品,目前市场上中低容量型号很容易购买到,大容量相对少一些
2018-08-20 09:52:02

AnDAPT为Xilinx Zynq平台FPGA和SoC设备推出完整电源解决方案

集成及可配置电源管理芯片(PMIC)产品(基于专有和突破性混合信号FPGA平台构建)供应商AnDAPT今天推出另一个系列的PMIC解决方案,为Xilinx ZU+ RFSoC和Zynq-7000
2021-06-01 07:30:00

VIRTEX-5FPGA

VIRTEX-5FPGA - DC and Switching Characteristics - Xilinx, Inc
2022-11-04 17:22:44

【下载】《FPGA上的嵌入式系统设计实例(附光盘XILINX大学合作计划指定教材)》

`简介:在《FPGA上的嵌入式系统设计实例(附光盘XILINX大学合作计划指定教材)》的写作过程中,弱化基本概念,强调实验和项目设计,并且突出版本管理的作用。前3章是基本概念的介绍;第4章
2017-12-08 14:30:50

【基于Xilinx FPGA的OFDM通信系统基带设计】随书光盘

。《基于XILINX FPGA的OFDM通信系统基带设计》所有模块均在Xilinx公司大学计划Spartan一3E Starter Kit开发板上验证通过,随书光盘附所有ISE工程文件和Verilog源码
2012-11-02 11:09:37

如何使用Xilinx Spartan 6 FPGA进行原理图设计

嗨,我正在使用Xilinx Spartan 6 FPGA进行原理图设计。我从USB和连接器上接到5V电路板。我想用它作为我的FPGA的输入,表明USB连接器已连接。我计划使用分压器从这个5V获得
2019-07-19 11:02:31

如何选择XilinxFPGA产品

 XilinxFPGA、SoC、MPSoC、RFSoC和ACAP产品介绍使用XilinxFPGA、SoC和ACAP进行设计和开发
2021-01-22 06:38:47

成为Xilinx FPGA设计专家(基础篇)

对大家有所帮助,当然更加希望Xilinx? FPGA工程师/爱好者能跟我们一起来探讨学习!《成为Xilinx FPGA设计专家》这本电子书,计划分为3大部分:基础篇、提升篇、高级篇。  当然这里讲
2014-11-05 13:56:42

成为Xilinx FPGA设计专家(基础篇)

设计专家》这本电子书,计划分为3大部分:基础篇、提升篇、高级篇。  当然这里讲的就是《成为Xilinx FPGA设计专家》(基础篇)。本电子书主要论述了等相关内容。本电子书旨在解决工程师日常设计中所
2014-11-03 17:15:51

能将过时的Xilinx FPGA的加载文件转换为XilinxFPGA的文件吗?

我有一个用于过时的Xilinx FPGA的加载文件。是否有机会将其转换为XilinxFPGA的文件?例如斯巴达。以上来自于谷歌翻译以下为原文I have a loading fille fora
2019-02-13 07:53:44

请问后续会推出BLE的freertos或者RTT单独库的计划吗?

请问后续会推出BLE的freertos或者RTT单独库的计划吗?
2022-07-22 07:23:40

使用EMIF将Xilinx FPGA与TI DSP平台接口

使用EMIF将Xilinx FPGA与TI DSP平台接口:本应用指南使用外部存储器接口 (EMIF) 实现了 Xilinx FPGA 到 Texas Instruments 数字信号处理器 (DSP) 平台的几种连接。指南目录本手册包含以下章节
2009-11-01 15:00:0968

简化Xilinx和Altera FPGA调试过程

简化Xilinx和Altera FPGA调试过程:通过FPGAViewTM 解决方案,如混合信号示波器(MSO)和逻辑分析仪,您可以在Xilinx 和Altera FPGA 内部迅速移动探点,而无需重新编译设计方案。能够把内部FPGA
2009-11-20 17:46:2626

十分钟学会Xilinx FPGA 设计

十分钟学会Xilinx FPGA 设计 Xilinx FPGA设计基础系统地介绍了Xilinx公司FPGA的结构特点和相关开发软件的使用方法,详细描述了VHDL语言的语法和设计方法,并深入讨
2010-03-15 15:09:08177

Xilinx推出全球首款单片FPGA解决方案,用于构建40G

Xilinx推出全球首款单片FPGA解决方案,用于构建40Gb/100Gb以太网 全球可编程逻辑解决方案领导厂商赛灵思公司(Xilinx)日前宣布,为开发下一代以太网桥接和交换解决方案的电
2008-09-28 08:42:45559

三星电子和Xilinx推出赛灵思 Spartan-6 FPG

三星电子和Xilinx推出赛灵思 Spartan-6 FPGA系列 三星电子和Xilinx共同推出赛灵思 Spartan®-6 FPGA 系列已取得三星电子旗下晶圆代工厂
2010-03-17 11:04:131047

安富利推出Xilinx Virtex-6 FPGA DSP开

安富利推出Xilinx Virtex-6 FPGA DSP开发工具套件安富利公司旗下运营机构安富利电子元件宣布推出Xilinx Virtex -6 FPGA DSP开发工具套件。这套件是为DSP设计而打造,是Xilinx目标设计平
2010-04-24 09:56:311331

Xilinx FPGA的仿真技术设计指南

Power Expert是一套可以支持Xilinx FPGA设计的最新设计工具,设计数字系统的工程师只要利用这套工具,便可解决仿真电路的设计问题。这个设计工具网页详列Xilinx各种不同的FPGA产品以供工程师挑
2011-03-16 14:48:58137

Xilinx推出基于28nm Kintex-7 FPGA 的全新目标参考设计和全新开发基板

赛灵思公司 (Xilinx, Inc. (NASDAQ:XLNX) )今天宣布推出基于 28 nm Kintex™-7 FPGA 的全新目标参考设计和全新开发基板
2012-01-09 09:44:531512

Xilinx FPGA开发实用教程(第2版)-徐文波、田耘

本书系统地论述了Xilinx FPGA开发方法、开发工具、实际案例及开发技巧,内容涵盖Xilinx器件概述、Verilog HDL开发基础与进阶、Xilinx FPGA电路原理与系统设计
2012-07-31 16:20:4211268

xilinx公司的7系列FPGA应用指南

本文是关于 xilinx公司的7系列FPGA应用指南。xilinx公司的7系列FPGA包括3个子系列,Artix-7、 Kintex-7和Virtex-7。本资料就是对这3各系列芯片的介绍。 下表是xilinx公司的7系列FPGA芯片容量对比表
2012-08-07 17:22:55201

Xilinx推出业界首款FPGA低时延25G以太网IP

2014年11月17日,中国北京 - All Programmable 技术和器件的全球领先企业赛灵思公司(Xilinx, Inc. (NASDAQ:XLNX))今天宣布推出业界首款FPGA低时延25G以太网IP,用以解决数据中心应用所面临的吞吐量难题。
2014-11-17 15:50:001358

Imagination CEO Hossein Yassaie爵士荣获2015 年度 Elektra 欧洲电子行业终身成就奖

支持奖 (Educational Support Award),肯定了其革命性的 CPU 架构教育 MIPSfpga 计划
2015-12-08 16:58:10535

Xilinx FPGA设计进阶

Xilinx FPGA设计进阶(提高篇) 有需要的下来看看
2015-12-29 15:45:4812

Xilinx_FPGA系列入门教程(二)—Xilinx_FPA

Xilinx FPGA系列入门教程(二)——Xilinx FPAG开发环境的配置
2016-01-18 15:30:2032

Xilinx_FPGA系列入门教程(一)—如何搭建Xilinx

Xilinx FPGA系列入门教程(一)——如何搭建Xilinx FPGA开发环境
2016-01-18 15:30:3245

Imagination、Microchip 和 Digilent 携手为全球大专院校提供先进的 IoT 课程

  2016 年 4 月 22 日 ─ Imagination Technologies、Microchip Technology 以及 Digilent 共同宣布推出 Connected MCU Lab (连网 MCU 实验室) 计划,将结合三家公司各自的大专院校计划开发新的课程内容。
2016-04-22 15:17:10878

Xilinx-ISE9.x-FPGA-CPLD设计指南合集

Xilinx-ISE9.x-FPGA-CPLD设计指南合集
2022-03-22 18:03:0976

基于XILINX的XC3系列FPGA的VGA控制器的VHDL源程

Xilinx FPGA工程例子源码:基于XILINX的XC3系列FPGA的VGA控制器的VHDL源程序
2016-06-07 15:07:4512

Xilinx-FPGA-引脚功能详细介绍

FPGA学习资料教程之Xilinx-FPGA-引脚功能详细介绍
2016-09-01 15:27:270

Xilinx-FPGA高级开发工具

FPGA学习资料教程之Xilinx-FPGA高级开发工具,感兴趣的可以看看。
2016-09-01 15:27:270

2016 Imagination大学计划-MIPSfpga Workshop邀请函(台湾科技大学)

真正的、不会混淆的RTL代码,因此教授和学生就无法研究和探索一个真正的CPU。而Imagination 将通过 MIPSfpga 计划改变这种现状,为大学提供免费、
2017-02-10 06:50:08122

2016 Imagination大学计划-MIPSfpga Workshop邀请函(广州中山大学)

真正的、不会混淆的RTL代码,因此教授和学生就无法研究和探索一个真正的CPU。而Imagination 将通过 MIPSfpga 计划改变这种现状,为高校提供免费、
2017-02-10 06:50:11124

2016 Imagination大学计划-MIPSfpga Workshop邀请函(重庆大学)

真正的、不会混淆的RTL代码,因此教授和学生就无法研究和探索一个真正的CPU。而Imagination 将通过 MIPSfpga 计划改变这种现状,为高校提供免费、
2017-02-10 07:02:3196

2016 Imagination大学计划-MIPSfpga Workshop邀请函(北京邮电大学)

真正的、不会混淆的RTL代码,因此教授和学生就无法研究和探索一个真正的CPU。而Imagination 将通过 MIPSfpga 计划改变这种现状,为高校提供免费、
2017-02-10 07:15:30212

2016 Imagination大学计划-MIPSfpga Workshop邀请函(上海复旦大学)

真正的、不会混淆的RTL代码,因此教授和学生就无法研究和探索一个真正的CPU。而Imagination 将通过 MIPSfpga 计划改变这种现状,为高校提供免费、
2017-02-10 07:18:05101

2016 Imagination大学计划-MIPSfpga Workshop邀请函(西安交通大学)

真正的、不会混淆的RTL代码,因此教授和学生就无法研究和探索一个真正的CPU。而Imagination 将通过 MIPSfpga 计划改变这种现状,为高校提供免费、
2017-02-10 10:16:29159

Xilinx 7系列FPGA使用之CLB探索(一)

7系列FPGAXilinx推出的基于28nm工艺的FPGA,其中包含三个系列:Artix、Kintex和Virtex。因项目要使用kintex7为平台做设计,需要对其内部结构做了研究,首先从CLB(Configurable Logic Block)开始
2017-02-10 16:13:385090

基于Xilinx FPGA的通用信号采集器

上一篇写了基于Xilinx FPGA的通用信号发生器的案例,反响比较好,很多朋友和我探讨相关的技术,其中就涉及到信号的采集,为了使该文更有血有肉,我在写一篇基于Xilinx FPGA的通用信号采集器,望能形成呼应,以解答大家的疑问。
2017-02-11 03:11:371712

说说赛灵思(Xilinx )的FPGA 高速串行收发器

赛灵思(Xilinx)公司FPGA器件的高速串行收发器类别如下
2017-02-11 11:11:305958

XilinxFPGA中LVDS差分高速传输的实现

XilinxFPGA中LVDS差分高速传输的实现
2017-03-01 13:12:0464

Imagination大学计划在欧洲成功推行!

了研讨会。该研讨会是Imagination大学计划两个主题系列教程的一部分,对专业学者开放,即互联MCU实验室和MIPSfpga研讨会。
2017-05-02 13:06:42422

Imagination发布 MIPSfpga 2.0:CPU 架构教学的完整教材

2017年7月14日 ─ Imagination Technologies 宣布推出 MIPSfpga 2.0,这是其非常成功的 CPU 教育基础架构的新版本。MIPSfpga 2.0 可为计算机
2017-07-14 16:01:112322

京东百度后续推出“京度计划”开撕苏宁天猫

据有关媒体透露,京东和百度携手,将要推出“京度计划”,这回马云可吓懵了吧。据说“京度计划推出的目的是为了“基于各自最强资源和产品联合打造的智能化商业平台”,而这是京东与腾许合作之后,再次携手国内
2017-08-14 16:41:2314815

基于Xilinx FPGA的开发板及代码

文档内容包含基于Xilinx FPGA的开发板代码及原路图,供网友参考。
2017-09-01 11:09:2420

Xilinx FPGA设计应用分析

  FPGAs have changed dramatically since Xilinx first introduced them just 15 years ago. In the ast
2017-09-20 18:41:5514

Xilinx FPGA的Maxim参考设计

Xilinx FPGA的Maxim参考设计
2017-10-31 09:59:2423

Xilinx品牌FPGA使用的三种证书

JESD204B协议是目前高速AD,DA通用的协议。对于基带使用FPGA用户来说,Xilinx品牌的FPGA使用更为常见。Xilinx提供了JESD204的IP core,设计起来比较方便。
2018-07-04 10:12:003977

Xilinx FPGA电源TI解决方案(1)

使用TI解决方案为Xilinx新型FPGA提供电源(一)
2018-08-22 00:01:003168

Xilinx FPGA电源TI解决方案(2)

使用TI解决方案为Xilinx新型FPGA提供电源(二)
2018-08-21 01:40:002228

基于Xilinx FPGA用于ASIC前端验证的问题总结

FPGA本身是有专门的时钟cell的,以xilinx FPGA为例,就是primitive库中的BUFG。
2018-12-22 15:33:591588

Xilinx FPGA上单源SYCL C++实现运行的方法

在此Xilinx研究实验室演示中,解释了单源SYCL C ++示例以及生成在Xilinx FPGA上运行的硬件实现的方法。
2018-11-20 06:30:002918

如何在小型集群中部署Xilinx FPGA

Xilinx FPGA是支持OpenStack的第一个(也是目前唯一的)FPGA。 该视频快速介绍了如何在小型集群中部署Xilinx FPGA卡,以便在Xilinx SC16展台上运行每个演示,并使用OpenStack进行配置和管理。
2018-11-23 06:14:003322

Xilinx FPGA的FMC介绍

本文主要介绍Xilinx FPGA的FMC接口。
2020-01-28 17:52:005120

Xilinx 7系列FPGA介绍

Xilinx 7系列FPGA概览 文章目录 Xilinx 7系列FPGA概览 1.Xilinx的四个工艺级别 2.Virtex、Kintex、Artix和Spartan 3.7系列特点 4.7系列
2020-11-13 18:03:3014065

Xilinx 7 系列FPGA中的Serdes总结

本文档的主要内容详细介绍的是Xilinx 7 系列FPGA中的Serdes总结。
2020-12-31 17:30:5825

SSM2518 pmod Xilinx FPGA参考设计

SSM2518 pmod Xilinx FPGA参考设计
2021-04-20 16:05:073

AD5933 pmod Xilinx FPGA参考设计

AD5933 pmod Xilinx FPGA参考设计
2021-04-21 18:41:193

AD7780 pmod Xilinx FPGA参考设计

AD7780 pmod Xilinx FPGA参考设计
2021-04-22 13:35:2311

Xilinx 7系列FPGA管脚是如何定义的?

引言: 我们在进行FPGA原理图和PCB设计时,都会涉及到FPGA芯片管脚定义和封装相关信息,本文就Xilinx 7系列FPGA给出相关参考,给FPGA硬件开发人员提供使用。通过本文,可以了解到:
2021-05-01 09:47:0010367

ADXL362 pmod Xilinx FPGA参考设计

ADXL362 pmod Xilinx FPGA参考设计
2021-05-11 10:44:189

ADT7420 pmod Xilinx FPGA参考设计

ADT7420 pmod Xilinx FPGA参考设计
2021-05-12 08:08:263

ADXL345 pmod Xilinx FPGA参考设计

ADXL345 pmod Xilinx FPGA参考设计
2021-05-16 19:45:168

ADP5589 pmod Xilinx FPGA参考设计

ADP5589 pmod Xilinx FPGA参考设计
2021-05-16 20:37:581

AD5628 pmod Xilinx FPGA参考设计

AD5628 pmod Xilinx FPGA参考设计
2021-05-19 14:34:174

AD5541A pmod Xilinx FPGA参考设计

AD5541A pmod Xilinx FPGA参考设计
2021-05-19 15:15:1911

AD7193 pmod Xilinx FPGA参考设计

AD7193 pmod Xilinx FPGA参考设计
2021-05-19 15:18:132

AD7091R pmod Xilinx FPGA参考设计

AD7091R pmod Xilinx FPGA参考设计
2021-05-19 18:31:597

AD7156 pmod Xilinx FPGA参考设计

AD7156 pmod Xilinx FPGA参考设计
2021-05-20 12:32:1610

AD7991 pmod Xilinx FPGA参考设计

AD7991 pmod Xilinx FPGA参考设计
2021-05-20 12:37:2612

AD5781 pmod Xilinx FPGA参考设计

AD5781 pmod Xilinx FPGA参考设计
2021-05-24 10:29:2017

Xilinx FPGA开发实用教程

Xilinx FPGA开发实用教程资料包免费下载。
2022-04-18 09:43:4624

Imagination携手百度飞桨共同发起“硬件生态共创计划

Imagination Technologies在今日隆重举行的“Wave Summit 2022”大会上宣布:携手百度飞桨(PaddlePaddle)及多家合作伙伴共同发起“硬件生态共创计划
2022-05-20 17:22:461131

简化Xilinx FPGA的电源系统设计

自 1985 年 Xilinx 开发出第一个商业上可行的 FPGA 以来,FPGA 细分市场的价值已经增长到数十亿美元。Xilinx 本身的年收入超过 30 亿美元,在汽车、5G、基础设施和数
2022-08-05 16:49:26979

Xilinx FPGA pcb设计

Xilinx FPGA pcb设计
2023-05-29 09:11:360

罗彻斯特电子携手AMD/Xilinx可持续供应Xilinx传统FPGA产品

罗彻斯特电子携手AMD/Xilinx,为Xilinx传统FPGA和相关配置PROM产品提供供货支持。
2023-11-07 09:04:42250

Xilinx fpga芯片系列有哪些

Xilinx FPGA芯片拥有多个系列和型号,以满足不同应用领域的需求。以下是一些主要的Xilinx FPGA芯片系列及其特点。
2024-03-14 16:24:41215

已全部加载完成