电子发烧友App

硬声App

0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

电子发烧友网>可编程逻辑>FPGA/ASIC技术>从赛灵思FPGA设计流程看懂FPGA设计

从赛灵思FPGA设计流程看懂FPGA设计

12下一页全文
收藏

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

评论

查看更多

相关推荐

7系列FPGA芯片-的“雄韬伟略”

本帖最后由 ycq654263138 于 2012-9-21 16:32 编辑   电子发烧友网讯:FPGA 7系列芯片正以燎原之势席卷整个行业。在本文,电子发烧友网小编将带领大家一起
2012-09-21 13:46:16

FPGA LX9 MicroBoard成为学习FPGA的另一低成本方法

发行很久了,现在机会来了。对你来说,使用Spartan-6 MicroBoard是一个完整的低成本的方法,可以熟悉Spartan-6 FPGA的开发。它使用同一个USB端口作为电源和JTAG编程
2017-02-10 17:12:21

FPGA如何通过CH368PCIE与PC实现数据通信?

我毕设需要做一个FPGA输入高速信号到PCIE口,但是速率过高不能直接接入,所以买了贵公司的CH368,但是不知道FPGA和CH368之间应该怎么实现通信,有没有verilog的例程可以参考,CH368是UART口还是普通串口呢?谢谢了!
2022-10-10 07:10:28

FPGA就像是一张精密的画布 - DSP 专家给你一个选择 FPGA 的理由

了。打个比喻来说,对于热爱乐高的人来说 FPGA 设计就像搭积木,对于爱涂鸦的我来说 FPGA 就像是一张精密的画布。借助这样的 FPGA 厂商提供给设计师的易用的“画笔”,有创意的设计师就能
2018-08-13 09:31:45

FPGA就像是一张精密的画布 - DSP 专家给你一个选择 FPGA 的理由

的系统了。打个比喻来说,对于热爱乐高的人来说 FPGA 设计就像搭积木,对于爱涂鸦的我来说 FPGA 就像是一张精密的画布。借助这样的 FPGA 厂商提供给设计师的易用的“画笔”,有创意的设计师就能
2018-08-10 09:16:48

FPGA平台在非传统领域的应用

绝对市场份额上看,传统的通信市场仍是低成本FPGA的主要领域。但消费电子和汽车应用正在快速增长,低成本、低功耗、小体积的FPGA将为这些应用提供更强的发展动力。”公司(Xilinx)通用
2019-07-22 07:32:26

FPGA开发全攻略

可编程器件发展看FPGA未来趋势 15第三章、FPGA主要供应商与产品 173.1.1 主要产品介绍 17第四章、FPGA开发基本流程 294.1 典型FPGA开发流程与注意事项 294.2
2009-04-09 18:28:46

FPGA开发攻略-工程师创新应用宝典基础篇【上】

72.1.1梦想成就伟业72.1.2FPGA结构82.1.3 软核、硬核以及固核的概念152.1.4可编程器件发展看FPGA未来趋势15第三章、FPGA主要供应商与产品 173.1.1主要产品介绍17
2012-02-27 15:44:02

FPGA提供快速、简单、零风险的成本降低方案

设计使用的特定资源进行筛选。最后,裸片在六周内完成组装、标记和最终测试,以确保功能和性能。市场上没有任何其它 FPGA成本降低解决方案,能够在这么短的时间内完成原型设计到量产的转化。采用的专利测试
2012-08-11 18:17:16

FPGA是Xilinx好,还是Altera好?

, 你是无法说好或者不好的。就像孩子看电影电视, 常常问:这个是好人还是坏人? 我们不能给出精确的结论。在FPGA市场领域, 公司是FPGA的发明者, 无晶圆代工模式的先锋,也是积极把FPGA
2012-02-28 09:59:27

FPGA是用altera多还是的多呢

FPGA是用altera多还是的多呢,我买的开发板是altera的,但是很多人推荐说学习的好
2016-01-09 21:27:25

FPGA的发展现状如何?

FPGA的发展现状如何?推出的领域目标设计平台如何简化设计、缩短开发时间?
2021-04-08 06:18:44

FPGA芯片选型

做pcie3.0协议分析仪,需要选取什么样的FPGA芯片,请大神指点一下,最好是
2016-08-11 15:19:45

FPGA设计之浮点DSP算法实现【工程师作品】

FPGA设计之浮点DSP算法实现,DSP算法是很多工程师在设计过程中都会遇到的问题,本文将从FPGA设计的角度来讲解浮点DSP算法的实现。FPGA设计之浮点DSP算法实现是工程师最新力作,资料不可多得,大家珍惜啊1FPGA设计之浮点DSP算法实现[hide][/hide]
2012-03-01 15:23:56

FPGA设计大赛

FPGA设计大赛和FPGA大赛有什么关系吗?分别是什么性质的比赛,何时开始何时结束?只是在网上参与吗?
2012-07-06 19:14:44

FPGA设计时序约束指南【工程师力作】

的一条或多条路径。在 FPGA 设计中主要有四种类型的时序约束:PERIOD、OFFSET IN、OFFSET OUT 以及 FROM: TO(多周期)约束。FPGA设计时序约束指南[hide][/hide]`
2012-03-01 15:08:40

FPGA设计流程看懂FPGA设计

2020-06-03 15:32:27

FPGA设计流程看懂FPGA设计

1.XILINX ISE传统FPGA设计流程利用XilinxISE软件开发FPGA的基本流程包括代码输入、功能仿真、综合、综合后仿真、实现、布线后仿真与验证和下班调试等步骤。如下图所示。1)电路设计
2021-05-27 09:28:40

7系列采用FPGA电源模块

。ROHM与安富利公司共同开发7系列FPGA及Zynq®–7000 All Programmable SoC的评估套件Mini-Module Plus 用的电源模块。安富利公司已经开发出多款
2018-12-04 10:02:08

FPGA初学者 必备图书 特权同学新书《勇敢的芯伴你玩转 FPGA

地引领读者板级设计、基础入门实例、FPGA片内资源应用实例和综合进阶实例等方面,玩转FPGA逻辑设计。本书基于特定的FPGA实验平台,既有足够的理论知识深度作支撑,也有丰富的例程进行实践学习,并且穿插着
2017-11-27 12:23:53

FPGA原理图例子之s3astarter

`FPGA原理图例子之s3astarter 一向是FPGA领域里的领先者,运用FPGA需要深入的理解它的工作原理,小编亲子整理了s3astarter 的经典fpga原理图分享给电子工程师们。FPGA原理图例子之s3astarter [hide][/hide]`
2012-03-16 10:41:19

FPGA对DLP数字影院投影仪产生了哪些影响?

公司(Xilinx)日前宣布NEC子公司NEC Display Solutions有限公司的三款DLP数字影院投影仪产品,均采用了Virtex®-5 FPGA系列产品。
2019-08-19 07:12:03

FPGA设计流程详解

1.XILINX ISE传统FPGA设计流程利用XilinxISE软件开发FPGA的基本流程包括代码输入、功能仿真、综合、综合后仿真、实现、布线后仿真与验证和下班调试等步骤。如下图所示。1)电路设计
2019-05-03 08:00:00

FPGA设计大赛参赛者自评分表格下载

FPGA设计大赛参赛者自评分表格下载自评分表填写指引:参赛者须于提交设计作品时一并呈交自评分表。每一个参赛作品最高可获得10分自评分。请在适当的方格上打勾。参赛者作品自评分表格下载:[hide
2012-04-24 15:07:27

FPGA该怎么应对内窥镜系统架构的挑战?

  什么是FPGA?如何帮助内窥镜制造商克服复杂的设计约束,生产出极具竞争优势的产品?如何帮助他们成功构建外形小巧的低功耗内窥镜摄像头、高性价比的摄像机控制单元(CCU),以及多功能、低成本的图像管理设备?  
2019-09-17 06:31:55

fpga设计比赛火爆进行中

fpga设计比赛于4月23日上线,得到了广大电子工程师特别是fpga爱好者的大力关注和广泛支持。本次大赛支持个人报名和团体报名,其中团队报名数量达到了20个团队。还没有参加比赛的电子工程师
2012-06-06 14:49:12

ISE® 设计套件11.1版对FPGA有什么优化作用?

每一版本都提供了完整的FPGA设计流程,并且专门针对特定的用户群体(工程师)和特定领域的设计方法及设计环境要求进行了优化。那大家知道ISE® 设计套件11.1版对FPGA有什么优化作用吗?
2019-07-30 06:52:50

Verilog(FPGACPLD)设计小技巧

Verilog(FPGACPLD)设计小技巧
2012-08-19 22:52:02

Virtex-6 HXT FPGA ML630提供参考时钟电路图

Virtex-6 HXT FPGA ML630评估套件采用SiTime电子发烧友振具体型号为:SIT9102AI-243N25E200.0000,而目前针对这一型号sitime推出了抖动更低
2014-11-17 15:07:35

Zynq-7000可扩展处理平台让编程流程更简单

Zynq-7000可扩展处理平台(EPP)将双ARM Cortex-A9 MPCore处理器系统与可编程逻辑和硬IP外设紧密集成在一起,提供了灵活性、可配置性和性能的完美组合。围绕其刚刚推出
2019-05-16 10:44:42

公司亚太区销售与市场副总裁给XILINX客户的信

尊敬的客户朋友们:在此,我谨代表公司与您分享一个激动人心的喜讯: 3 月1 日,公司宣布全球第一片28nmFPGA 芯片(7K325T) 成功量产了!该里程碑式信息的发布,不仅是
2012-03-22 15:17:12

推出全球最大容量的FPGA – Virtex UltraScale+ VU19P

自适应和智能计算的全球领先企业公司(Xilinx, Inc.,(NASDAQ:XLNX))今天宣布推出全球最大容量的 FPGA – Virtex UltraScale+ VU19P,从而进一步
2020-11-02 08:34:50

有哪几种ISE设计套件配置版本 ?

有哪几种ISE设计套件配置版本 ?
2021-04-30 06:30:50

FPGA用什么开发工具编程,有没有大佬分享一下安装包

FPGA用什么开发工具编程,有没有大佬分享一下安装包
2018-05-24 17:51:38

高性能40nm Virtex-6 FPGA系列通过全生产验证

【来源】:《电子设计工程》2010年02期【摘要】:<正>公司与联华电子共同宣布,采用联华电子高性能40nm工艺的Virtex-6FPGA,已经完全通过生产前的验证
2010-04-24 09:06:05

(XILINX)全新7系列FPGA详述

(XILINX)全新7系列FPGA详述
2012-08-14 12:20:22

Xilinx FPGA中文培训资料教程【免费下载】

本帖最后由 eehome 于 2013-1-5 09:52 编辑 不可多得的Xilinx FPGA中文培训材料教程,涉及到virtel的基本架构、设计流程、如何阅读报告、时序约束等经典
2012-03-02 09:51:53

XilinxFPGA技术及应用线上公开课

` 本帖最后由 MGJOY 于 2017-4-10 15:07 编辑 本周三,4月12日,FPGA技术及应用线上公开课。欢迎大家观看、学习交流~分享主题【FPGA人工智能领域技术及应用】嵌入式视觉领域技术和解决方案机器学习方面的技术和解决方案ADAS/自动驾驶方面的应用`
2017-04-10 15:06:16

Xilinx方案

能做方案的,请联系
2019-01-21 19:31:40

Xilinx的FPGA硬件设计相关资料下载

FPGA最小系统说白了就是设计一个最小系统,其可以作为日后一系列产品的基础,一是可以加快开发流程,二是可以降低开发难度,本次选用的芯片是Xilinx()的7系列(ARTIX)的FPGA
2021-11-11 07:39:20

labview 2010 FPGA

问一下哪位使用过labview 2010 FPGA 编程,除了需要安装 labview fpga 模块外,还要安装什么才可以使用呢?只安装labview的模块没有硬件实物,能否实现labview fpga编的程序下载到公司的fpga开发软件里面进行仿真呢?万分感谢
2012-08-24 10:23:36

FPGA开发全攻略—基础篇》

以及固核的概念 152.1.4 可编程器件发展看FPGA未来趋势 15第三章、FPGA主要供应商与产品 173.1.1 主要产品介绍 17第四章、FPGA开发基本流程 294.1 典型FPGA
2014-11-03 17:14:22

【AD新闻】新CEO访华绘蓝图,7nm ACAP平台要让CPU/GPU难企及

。同时,ACAP也仍然能利用FPGA工具RTL 级进行编程。ACAP历经四年的研发,累积研发投资逾10亿美元。目前有超过1500名软硬件工程师参与“ACAP 和Everest”的设计。目前,软件
2018-03-23 14:31:40

为什么说已经远远领先于Altera?

Altera和20年来都在FPGA这个窄众市场激烈的竞争者,然而Peter Larson基于对两个公司现金流折现法的研究表明,是目前FPGA市场的绝对领先者。
2019-09-02 06:04:21

什么是丰富目标设计平台?

今年年初,率先在FPGA领域提出目标设计平台概念,旨在通过选用开放的标准、通用的开发流程以及类似的设计环境,减少通用工作对设计人员时间的占用,确保他们能集中精力从事创新性的开发工作。
2019-08-13 07:27:15

使用MATLAB & Simulink Add-on插件面向Versal AI引擎设计

MATLAB & Simulink Add-on插件是将 ModelComposer 和 System Generator forDSP完美结合的统一工具。
2021-01-28 06:33:40

关于如何测试FPGA性能问题的求助

现在需要测试FPGA的性能,希望在FPGA公司)上配置一些基准电路,如MCNC benchmarks 中提供的电路。 问题1:在网上找到的MCNC电路格式全是BLIF文件格式,无法直接
2013-11-05 15:06:21

回顾Elecfans开放日之“跟安富利学FPGA的工业应用“

Programmable技术,助力智能工业系统”15:00-15:10 休息时间15:10-16:30 自由分享+主题讨论16:30-17:00 结束【活动奖品】黑色双肩包,圆珠笔,笔记本【活动咨询】活动咨询
2013-11-01 13:48:38

FPGA中使用ARM及AMBA总线

国外的融合技术专家展示了一项基于FPGA的数据采集系统,用于合成孔径成像技术。采用了Xilinx ISE设计软件,支持ARM AMBA AXI4接口。文风犀利,观点新颖,FPGA中使用ARM及AMBA总线中不可多得的资料在FPGA中使用ARM及AMBA总线[hide][/hide]
2012-03-01 15:48:17

基于FPGA的EtherCAT主站运动控制

基于FPGA的EtherCAT主站总线控制 ,论坛有做运动控制这方面的技术吗?目前我已实现带32轴同步运行,同步抖动±75ns,控制精度125us。感兴趣的可以一起探讨下
2018-07-23 12:00:39

基于FPGA的卷积神经网络实现设计

作者:Nagesh Gupta 创始人兼 CEOAuviz Systems Nagesh@auvizsystems.com凭借出色的性能和功耗指标, FPGA 成为设计人员构建卷积神经网络
2019-06-19 07:24:41

如何使用FPGA加速包处理?

FAST包处理器的核心功能是什么如何使用FPGA加速包处理?
2021-04-30 06:32:20

如何利用28纳米工艺加速平台开发?

全球可编程逻辑解决方案领导厂商公司 (Xilinx Inc.) 宣布,为推进可编程势在必行之必然趋势,正对系统工程师在全球发布新一代可编程FPGA平台。和前代产品相比,全新的平台功耗降低
2019-08-09 07:27:00

如何应对FPGA的拥塞问题

的逻辑电路之间应该相互紧邻。拥塞问题十分常见,,英特尔和 Plunify 的应对方法又是什么呢?FPGA 中特定的资源会提供某些机制,使布局空出来,因此避免了可以让设计变慢的拥塞。比如说
2018-06-26 15:19:23

如何正确使用FPGA的时钟资源?

 把握DCM、PLL、PMCD和MMCM知识是稳健可靠的时钟设计策略的基础。在其FPGA中提供了丰富的时钟资源,大多数设计人员在他们的FPGA设计中或多或少都会用到。不过对FPGA设计新手来说,什么时候用DCM、PLL、PMCD和MMCM四大类型中的哪一种,让他们颇为困惑。
2019-09-18 08:26:21

怎么利用FGPA实现降采样FIR滤波器?

怎么利用FGPA实现降采样FIR滤波器?这种滤波器在软件无线电与数据采集类应用中都很常见。
2019-08-15 08:21:22

提交FPGA设计方案,赢取FPGA开发板

“玩转FPGA:iPad2,开发板等你拿”活动持续火爆进行中……………………活动得到了广大电子工程师积极强烈的支持,为了回报电子工程师和网站会员,现在只需提交fpga设计方案,就有机会获得
2012-07-06 17:24:41

的开发环境ISE软件下载地址

刚开始学FPGA,求他的ISE软件下载地址,我在网上没搜到。谢谢了
2012-08-02 09:52:12

玩转FPGA (xilinx)FPGA设计大赛圆满结束

  电子发烧友网讯:由(xilinx)公司和华强PCB网赞助,电子发烧友网主办的玩转FPGA设计大赛已经圆满结束。本活动旨在建立一个FPGA技能展示和技术交流平台,鼓励广大参赛者发挥
2012-09-06 11:52:48

玩转FPGA (xilinx)FPGA设计大赛获奖名单!!!

本帖最后由 ycq654263138 于 2012-9-12 10:12 编辑   电子发烧友网讯:由(xilinx)公司和华强PCB网赞助,电子发烧友网主办的玩转FPGA
2012-09-06 11:54:16

玩转FPGA,FPGA设计大赛开赛啦

经历过和牛人一起进行FPGA设计比赛的激烈竞争吗?你感受过FPGA原厂开发板和fpga行业泰斗直接带来的强烈震撼吗? 没经历过没关系,电子发烧友网主办,赞助的“FPGA方案开发设计大赛”已经为
2012-04-23 09:31:16

玩转FPGA,FPGA设计大赛活动细则,参赛必看

本帖最后由 eehome 于 2013-1-5 10:00 编辑 玩转FPGA,FPGA设计大赛 本次大赛鼓励参赛者使用当前最受欢迎的热点技术领域和热点芯片为主的方案,来作为大赛
2012-04-24 14:40:58

玩转FPGA设计大赛上线,开始接受报名

经过准备,"玩转FPGA,超值开发板等你拿"设计大赛正式上线,现已开始接受报名.报名地址:https://www.elecfans.com/activities/fpga/
2012-04-23 15:31:01

FPGA实现LMS自适应滤波

求推荐一款FPGA, 要求实现LMS自适应滤波,较高的处理速度,我数据进来的速率 62.5M/s
2013-08-20 17:28:13

电子工程师创新设计必备宝典之FPGA开发全攻略(基础篇)

、硬核以及固核的概念 152.1.4 可编程器件发展看FPGA未来趋势 15第三章、FPGA主要供应商与产品 173.1.1 主要产品介绍 17第四章、FPGA开发基本流程 294.1 典型
2014-11-21 15:08:56

详解All Programmable Smarter Vision解决方案

详解All Programmable Smarter Vision解决方案
2021-06-02 06:56:12

请问FPGA的SoC将朝什么趋势发展?

过去一年中,FPGA巨头(Xilinx)在中国大举构建生态系统,其速度和力度让人吃惊。2006年末,公司董事会主席、总裁兼CEOWimRoelandts来华宣布了“促进中国电子设计创新
2019-10-28 06:10:28

这颗是限制料还是翻新料?

丝印查不到系列型号,引脚数量也对不上所有型号规格,也没有韩国产地
2023-02-24 17:01:32

选择(Xilinx)FPGA 7系列芯片的N个理由

  电子发烧友网讯:FPGA 7系列芯片正以燎原之势席卷整个行业。在本文,电子发烧友网小编将带领大家一起走近Xilinx的FPGA 7系列芯片,全新FPGA 7系列芯片的介绍、芯片优点、芯片
2012-09-06 16:24:35

采用FPGA实现DisplayPort详细教程【内部资料】

公司高级产品营销经理 Neal KendallQuantum Data市场营销经理采用FPGA实现DisplayPort详细教程【内部资料】[hide][/hide]
2012-03-01 11:10:18

采用Xilinx FPGA加速机器学习应用

全球领先的中文互联网搜索引擎提供商百度正在采用FPGA加速其中国数据中心的机器学习应用。两家公司正合作进一步扩大FPGA加速平台的部署规模。新兴应用的快速发展正日渐加重计算工作的负载,数据中心
2016-12-15 17:15:52

高价回收系列IC

高价回收系列IC长期回收系列IC,高价求购系列IC。深圳帝欧长期回收ic电子料,帝欧赵生***QQ1816233102/879821252邮箱dealic@163.com。帝欧回收
2021-04-06 18:07:50

高性能GSPS ADC为基于FPGA的设计解决方案带来板载DDC功能

作者:Ian BeaversAnalog Devices公司技术专家ian.beavers@analog.com高性能GSPSADC为基于FPGA的设计解决方案带来板载DDC功能宽带每秒
2019-06-14 05:00:09

:“玩转FPGA (xilinx)FPGA设计大赛”获奖奖品展示

  电子发烧友网讯:由(xilinx)公司和华强PCB网赞助,电子发烧友网主办的玩转FPGA设计大赛已经圆满结束。本活动获奖名单已经公布,详见:玩转FPGA (xilinx
2012-09-06 14:33:50

Xilinx/ XCS40XL-5PQ240C FPGA现场可编程逻辑器件 IC FPGA 192 I/O 240QFP

品牌XILINX/封装240-PQFP批次08+数量3500湿气敏感性等级 (MSL)3(168 小时)产品族嵌入式 - FPGA(现场可编程门阵列)系列Spartan®-XLLAB/CLB
2022-04-19 09:45:33

XC7A50T-1FGG484C FPGA可编程逻辑器件XILINX/

XC7A50T-1FGG484C FPGA可编程逻辑器件XILINX/ALINX SoM AC7A50T,基于Artix-7 XC7A50T-1FGG484C,由FPGA + 2 DDR3
2022-06-17 17:53:59

790.被并入AMD对中国FPGA厂商有什么意义?

fpga
小凡发布于 2022-10-05 02:52:44

不仅服务硬件工程师_2018年赛灵思如何布局AI

不断 从赛灵思FPGA设计流程看懂FPGA设计 作为FPGA行业的老大,赛灵思(Xilinx)在1985年发明了世界上最成功的可编程逻辑器件
2018-02-20 20:35:001135

2017人工智能热词TOP10_第一竟然不是AI

不断 从赛灵思FPGA设计流程看懂FPGA设计 TOP10 智能音箱 2017年,智能音箱很火,互联网巨头进入音频领域,纷纷推出智能音箱产品。
2018-02-20 20:39:001029

基于Xilinx FPGA的视频图像采集系统

不断 从赛灵思FPGA设计流程看懂FPGA设计 本篇要分享的是基于Xilinx FPGA的视频图像采集系统,使用摄像头采集图像数据,并没有用到SDRAM/DDR。
2018-02-20 20:44:001256

FPGA为什么比CPU和GPU快

不断 从赛灵思FPGA设计流程看懂FPGA设计 CPU 和 GPU 都属于冯·诺依曼结构,指令译码执行,共享内存。 FPGA 之所以比 CPU 、 GPU 更快
2018-02-20 20:49:001479

基于FPGA灰度图像高斯滤波算法的实现

不断 从赛灵思FPGA设计流程看懂FPGA设计 1. 内容概要 高斯滤波是一种线性平滑滤波,适用于消除高斯噪声,广泛应用于图像处理的减噪过程
2018-02-20 20:49:007272

FPGA仿真篇_使用脚本命令来加速仿真

不断 从赛灵思FPGA设计流程看懂FPGA设计 1.背景知识 FPGA的仿真与调试在FPGA开发过程中起着至关重要的作用,也占用了FPGA开发的大部分时间。
2018-02-20 20:54:008672

基于FPGA的HDMI高清显示接口驱动

不断 从赛灵思FPGA设计流程看懂FPGA设计 HDMI是(High Definition Multimedia Interface)的缩写,意思是高清晰度多媒体接口,是一种数字化视频/音频
2018-02-20 20:54:0011626

从赛灵思FPGA设计流程看懂FPGA设计

综合优化(Synthesize)是将硬件语言或原理图等设计输入翻译成由与,或,非门、RAM、触发器等基本逻辑单元组成的逻辑连接(网表),并根据约束条件优化生成的逻辑连接,输出edf和edn等文件。
2023-01-04 13:55:32974

已全部加载完成