0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

基于FPGA的DDS芯片实现雷达线性调频信号系统的设计

电子设计 来源:郭婷 作者:电子设计 2019-05-03 09:06 次阅读

线性调频信号可以获得较大的压缩比,有着良好的距离分辨率和径向速度分辨率,所以线性调频信号作为雷达系统中一种常用的脉冲压缩信号,已经广泛应用于高分辨率雷达领域。直接数字频率合成(Digital DirectFrequency Synthesis,DDS)技术是解决这一问题的最好办法。在雷达系统中采用DDS技术可以灵活地产生不同载波频率、不同脉冲宽度以及不同脉冲重复频率等参数构成的信号,为雷达系统的设计者提供了全新的思路。

1设计思路

利用专用DDS芯片是目前比较流行的信号产生方法。专用DDS芯片把所有功能集中在一块芯片上,需要设计者以此为平台进行开发。而基于FPGA的DDS软件编程则根据DDS技术的基本原理,充分利用了FPGA作为大规模芯片的资源优势和高速运算能力,除了能产生专用DDS芯片所具备的单频连续波、非连续波、各种形式的线性调频信号以外,还可以借助FPGA的庞大的资源优势和内部存储器,使非线性调频等更复杂的信号更容易实现。

2硬件系统的构成

在具体实现过程中主要采用一块基于FPGA的雷达信号处理卡,既可以采集来自雷达接收机的中频、视频信号并对其进行数字信号处理,又可以自身模拟产生雷达中频、视频信号进行数字信号处理或不处理直接送往雷达信号处理机。雷达信号处理卡的硬件电路结构框图如图1所示。

基于FPGA的DDS芯片实现雷达线性调频信号系统的设计

FPGA采用的是Xilinx公司的10万门FPGA芯片XC2S100E,其配置芯片为Xilinx公司的1 Mb容量PROM芯片XC18V01,以主动串行方式对FPGA进行上电配置。A/D,D/A分别为ADI公司12位高速模数转换芯片AD9224与14位高速数模转换芯片AD9764。SRAM采用Cypress公司的256k×16 bSRAM芯片CY7C1041。

结合本处理卡的结构特点,硬件上采用FPGA与高速D/A方案产生线性调频信号。在FPGA内部实现DDS电路,FPGA输出全数字的线性调频信号送往高速D/A得到最终的模拟线性调频信号。由于本处理卡采用PCI总线结构,因此可通过计算机实时修改线性调频信号的参数设置,改善了人机接口,提高了系统的灵活性。

3 FPGA软件编程实现线性调频信号

DDS芯片电路产生的是固定频率的正弦波信号,信号频率受相位增量△Phase控制,若要产生线性调频信号,则必须实时改变△Phase,使△Phase根据频率步进量fstep而线性变化。因此基于FPGA软件编程实现线性调频信号时,需要在FPGA内部实现频率累加器、相位累加器、正弦波形ROM存储器等电路,FPGA软件编程实现线性调频信号的原理图如图2所示。

基于FPGA的DDS芯片实现雷达线性调频信号系统的设计

在产生线性调频信号时,每来一个时钟脉冲,软件编程控制频率累加器产生线性增加的瞬时频率,然后经过相位累加器运算输出线性调频信号的瞬时相位,以此相位值寻址正弦值存储表,通过查表得到与相位值对应的幅度量化值;在下一个周期来临时,频率累加寄存器一方面将在上一时钟周期作用后所产生的新的频率数据反馈到频率加法器的输入端,以使频率加法器继续累加,频率累加的瞬时值与上个周期相位累加器反馈到相位加法器输入端的数据累加,然后再依此周期累加的相位值重新寻址正弦值存储表,得到对应的幅度量化值,依此循环,幅度量化值经过累加,并经D/A转换器得到连续的阶梯波,经低通滤波器滤除其中的高频分量,最后即可得到所需线性调频信号。

已知系统工作时钟fclk、频率累加器与相位累加器位数N,要产生中频为F0、带宽为B、时宽为T的线性调频信号,其频率步进变化如图3所示,在FPGA软件编程时只需计算出起始频率fstart和频率步进量fstep卸即可。

基于FPGA的DDS芯片实现雷达线性调频信号系统的设计

起始频率fstart和频率步进量fstep的计算公式如式(1),式(2)所示,因为在VHDL语言中,数值的表示方法都是二进制的,所以通过式(1),式(2)计算的结果都是二进制的,是无量纲的。

基于FPGA的DDS芯片实现雷达线性调频信号系统的设计

经过频率累加器输出的是严格线性增长的瞬时频率。在实际过程中相位累加器的输出是经过相位截断再进行寻址,从而引入了一定的相位误差,虽然这一误差会影响到线性调频信号的线性度,但是调频斜率为相位的二次导数,相位截断误差本身已很小,所以对调频线性度的影响就更小了。

4 实验结果

基于上述原理,首先对VHDL代码进行了时序仿真,然后将编译综合后的BIT文件下载到FPGA芯片中进行系统联调。实验表明,采用FPGA软件编程技术较好地实现了线性调频信号的产生,而且信号波形比较稳定。

基于FPGA的DDS芯片实现雷达线性调频信号系统的设计

图4为利用Modelsim软件对本设计所产生的信号进行仿真得到的时序仿真图,从中可以看出在每个触发周期内,所产生信号的变化频率在不断线性增加,可以较明显地看出产生的是线性调频信号。若要产生更低频率及更精确的波形,可以提高分辨率并相应减小基准时钟,这在FPGA中实现起来相对比较容易。

基于FPGA的DDS芯片实现雷达线性调频信号系统的设计

图5为在实际调试过程中模拟产生一个脉宽7 μs、周期为700 μs、带宽为5 Mb/s,中频为7.5 MHz的线性调频脉冲信号在示波器上的截图。从图中可以看出,本系统所产生的线性调频信号基本达到了预定的指标,能够满足实际工程中的应用,在雷达系统中有着较好的应用前景。本文原理以及本系统亦可用于构成产生相位编码脉冲信号等其他形式的复杂雷达信号形式,具有较大的可扩展性。

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • FPGA
    +关注

    关注

    1603

    文章

    21326

    浏览量

    593231
  • 芯片
    +关注

    关注

    447

    文章

    47804

    浏览量

    409172
  • DDS
    DDS
    +关注

    关注

    21

    文章

    614

    浏览量

    151733
收藏 人收藏

    评论

    相关推荐

    基于FPGADDS 调频信号的研究与实现

    基于FPGADDS 调频信号的研究与实现
    发表于 08-17 11:41

    求助C8051单片机控制DDS芯片AD9854产生一个线性调频信号的程序

    本帖最后由 elecfans 于 2015-5-17 16:04 编辑 求大神帮忙 我要用C8051单片机控制DDS芯片AD9854产生一个线性调频信号,求程序。。。。
    发表于 05-17 12:16

    请问基于DDS技术能输出线性调频信号的单通道芯片吗?

    请问基于DDS技术的可以输出线性调频信号的单通道芯片?频率不是很高。最好是好焊接的,外围电路简单。谢谢!
    发表于 03-01 10:39

    基于FPGA雷达线性调频信号实现

    王玲,邱军海,王世桥(烟台工程职业技术学院山东烟台264006)线性调频信号可以获得较大的压缩比,有着良好的距离分辨率和径向速度分辨率,所以线性调频信号作为
    发表于 07-08 07:38

    如何实现基于DDS芯片AD9858的线性调频信号的设计?

    本文介绍了一种采用DDS方式直接产生线性调频信号的全数字设计方法。
    发表于 04-12 06:14

    基于FPGADDS调频信号的研究与实现

    本文从DDS 基本原理出发,利用FPGA实现DDS 调频信号的产生,重点介绍了其原理和电路设计,并给出了
    发表于 06-26 17:29 72次下载

    基于STM32和FPGA的可控线性调频信号源的设计

    设计了一种有别于应用直接数字频率合成(DDS)产生线性调频信号,并且可对信号的起止和幅度进行控制的新型线性
    发表于 07-08 14:44 35次下载

    基于DDS雷达校准信号源设计

    为了校准相控阵雷达的接收信道,设计出一种基于DDS的弱信号源。采用单片机和FPGA控制DDS芯片
    发表于 05-03 18:14 81次下载
    基于<b class='flag-5'>DDS</b>的<b class='flag-5'>雷达</b>校准<b class='flag-5'>信号</b>源设计

    线性调频信号特征分析及其在现代雷达中的应用

    线性调频信号特征分析及其在现代雷达中的应用。
    发表于 01-15 15:17 7次下载

    调频线性度对线性调频信号影响

    调频线性度对线性调频信号性能影响分析,参考下。
    发表于 01-15 15:57 12次下载

    线性调频信号特征分析及其在现代雷达中的应用

    线性调频信号特征分析及其在现代雷达中的应用
    发表于 01-10 21:13 18次下载

    基于FPGA线性调频雷达各体制信号源的设计与实现

    介绍了直接数字频率合成(DDS)的基本原理,并基于Xilinx公司的FPGA设计出产生连续波、重频参差抖动、频率捷变、线性调频以及二相编码等雷达
    发表于 11-18 12:50 6297次阅读

    通过Matlab软件实现对DSP/FPGA线性调频信号仿真

    的大型软件。本文用Matlab软件建立DDS系统线性调频信号的仿真模型,对于理解线性调频信号
    的头像 发表于 08-30 10:09 6486次阅读
    通过Matlab软件<b class='flag-5'>实现</b>对DSP/<b class='flag-5'>FPGA</b><b class='flag-5'>线性</b><b class='flag-5'>调频信号</b>仿真

    如何基于DDS IP实现线性调频信号

    利用DDS IP实现线性调频信号 1 DDS技术简介随着电子技术的不断发展,传统的频率合成技术逐渐不能满足人们对于频率转换速度、频率分辨率等
    的头像 发表于 07-02 10:27 3151次阅读
    如何基于<b class='flag-5'>DDS</b> IP<b class='flag-5'>实现</b><b class='flag-5'>线性</b><b class='flag-5'>调频信号</b>

    基于FPGADDS IP实现线性调频信号的设计方案

    利用DDS IP实现线性调频信号1 DDS技术简介随着电子技术的不断发展,传统的频率合成技术逐渐不能满足人们对于频率转换速度、频率分辨率等方
    的头像 发表于 07-02 10:27 6023次阅读
    基于<b class='flag-5'>FPGA</b>的<b class='flag-5'>DDS</b> IP<b class='flag-5'>实现</b><b class='flag-5'>线性</b><b class='flag-5'>调频信号</b>的设计方案