0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

基于FPGA的DDS IP实现线性调频信号的设计方案

FPGA之家 来源:FPGA之家 作者:FPGA之家 2021-07-02 10:27 次阅读

利用DDS IP实现线性调频信号1 DDS技术简介随着电子技术的不断发展,传统的频率合成技术逐渐不能满足人们对于频率转换速度、频率分辨率等方面的追求,直接数字频率合成技术应运而生。

直接数字频率合成技术(DDS) 是把一系列数据量形式的信号通过D/A转换器转换成模拟量形式的信号合成技术。DDS具有很多优点,比如:频率转换快、频率分辨率高、相位连续、低功耗、低成本与控制方便。

DDS技术满足了人们对于速度稳定性的需求,但是在一些控制较为复杂的系统中,DDS专用芯片不能很好的贴合要求。利用现场可编程门阵列(FPGA)实现DDS具有很大的灵活性,基本能满足现在通信系统的使用要求。

2 DDS IP使用说明

基于FPGA的DDS设计方案

3 线性调频信号

3.1 理论介绍

3.1.1 基本概念

线性调频(LFM)信号是瞬时频率随时间成线性变化的信号。线性调频信号也称为鸟声(Chirp)信号,因为其频谱带宽落于可听范围,听着像鸟声,所以又称Chirp扩展频谱(CSS)技术。

3.1.2 表达公式

本文重点研究Xlinx DDS IP实现线性调频信号,主要关心线性调频信号的相位变化情况,如若想要了解线性调频信号其他方面信息,请参考其他相关文章。

线性调频信号表达式:

线性调频信号数学公式

其中,t是时间,单位为秒(s);T是脉冲持续时间(周期);K是线性调频斜率,单位是Hz/s.

相位表达式:

φ(t)=πKt^2

相位变化率:

∆φ(t)=2πKt

3.1.3 应用范围

LFM技术在雷达、声纳技术中有广泛应用,例如,在雷达定位技术中,它可用来增大射频脉冲宽度、加大通信距离、提高平均发射功率,同时又保持足够的信号频谱宽度,不降低雷达的距离分辨率。

3.2 Matlab仿真

3.2.1 matlab代码

fs = 100e6; %采样率

T = 5e-6; %脉冲宽度

B = 10e6; %信号带宽

K = B/T;%调频斜率

N = round(T*fs);%采样点数

t = linspace(0,T,N);

y = exp(1j*pi*K*t.^2);%LFM信号

theta = pi*K*t.^2; %信号相位

dtheta = pi*K*t; %相位变化量figure;

plot(t,real(y));

title(‘LFM信号时域-实部’);

xlabel(‘t/s’);

ylabel(‘幅度’);

figure;

plot(t,imag(y));

title(‘LFM信号时域-虚部’);

xlabel(‘t/s’);

ylabel(‘幅度’);

figure;

plot(t,theta);

title(‘LFM信号相位’);

xlabel(‘t/s’);

ylabel(‘相位’);

figure;

plot(t,dtheta);

title(‘LFM相位变化率’);

xlabel(‘t/s’);

ylabel(‘相位变化率’);

3.2.2 仿真结果图像

3.3 FPGA实现

3.3.1 参数计算

For example:

参数与上述matlab参数一致,采样率fs:100MHz,脉冲宽度T:5us,信号带宽B:10MHz,采样点数N:500。Xlinx DDS IP设置如下,假定相位累加器设置为32位,输出信号宽度设置为12位,可以根据自己的需求进行设计:

DDS IP配置界面1

DDS IP配置界面2需要注意的是相位增量不是一个定值,而是随时间呈线性变化的量。根据公式相位表达式φ(t)=πKt^2与相位变化率∆φ(t)=2πKt,端口S_AXIS_PHASE的CHAN_0_POFF 与CHAN_0_PINC设置如下: 当t = 0时φ(t) = πKt^2 = 0;∆φ(t) = 2πKt = 0相位变化率∆φ(t)每次增加的量为2πK∆t:2πK∆t = 2πBT/TNfs = 2πB/N由于DDS IP相位累加器位数Bθ(n)为32,且参数[0,2^32]对于相位弧度[0,1],那么相位增量∆θ公式如下:∆θ = 2πB/N*1/2π*2^Bθ(n)/fs = 858993.4592≈858993综上,CHAN_0_POFF设置为0,CHAN_0_PINC从0开始每次增加∆θ。

3.3.2 仿真结果

部分代码

//生成chirp信号

dds_compiler_0 suband_reference_waveform_inst (

.aclk (samp_clk),

.aclken (dds_aclken),

.aresetn (dds_aresetn),

.s_axis_phase_tvalid (s_axis_phase_tvalid),

.s_axis_phase_tdata (s_axis_phase_tdata),

.m_axis_data_tvalid (m_axis_data_tvalid),

.m_axis_data_tdata (m_axis_data_tdata),

.m_axis_phase_tvalid (m_axis_phase_tvalid),

.m_axis_phase_tdata (m_axis_phase_tdata)

);

wire signed [15:0] data_real = m_axis_data_tdata[15:0];

wire signed [15:0] data_imag = m_axis_data_tdata[31:16];

仿真波形

线性调频信号FPGA仿真波形

文章出处:【微信公众号:FPGA之家】

责任编辑:gt

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • FPGA
    +关注

    关注

    1602

    文章

    21324

    浏览量

    593215
  • 转换器
    +关注

    关注

    27

    文章

    8207

    浏览量

    141851
  • DDS
    DDS
    +关注

    关注

    21

    文章

    614

    浏览量

    151732

原文标题:利用DDS IP实现线性调频信号(二)

文章出处:【微信号:zhuyandz,微信公众号:FPGA之家】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    基于FPGADDS正弦信号发生器的设计和实现

    电子发烧友网站提供《基于FPGADDS正弦信号发生器的设计和实现.pdf》资料免费下载
    发表于 03-24 09:34 3次下载

    FPGA实现基于Vivado的BRAM IP核的使用

    Xilinx公司的FPGA中有着很多的有用且对整个工程很有益处的IP核,比如数学类的IP核,数字信号处理使用的IP核,以及存储类的
    的头像 发表于 12-05 15:05 518次阅读

    频信号如何与AD9910的DRG工作模式产生1.5MHz~100MHz的调频信号

    频信号如何与AD9910的DRG工作模式产生1.5MHz~100MHz的调频信号。 假如音频信号是采样之后的数字信号,数字信号如何与FT
    发表于 11-17 12:35

    度纬科技最新发布! IP频信号发生器IPSG

    度纬科技公路交通事业部多年从事于公路交通机电工程闭路电视视频监控系统及ETC收费系统的测试方案研究。IP频信号发生器IPSG于2023年10月正式发布, 满足GB/T 2182标准实际测试需要,支持千兆网口,可直接输出标准视
    的头像 发表于 11-01 13:47 537次阅读
    度纬科技最新发布! <b class='flag-5'>IP</b>视<b class='flag-5'>频信号</b>发生器IPSG

    基于FPGA 程序的DDS IP配置和调试

    DDS 同 DSP(数字信号处理)一样,是一项关键的数字化技术。DDS 是直接数字式频率合成器(Direct Digital Synthesizer)的英文缩写。与传统的频率合成器相比,DDS
    发表于 10-31 11:14 372次阅读
    基于<b class='flag-5'>FPGA</b> 程序的<b class='flag-5'>DDS</b> <b class='flag-5'>IP</b>配置和调试

    一次调频和二次调频的概念 一次调频可以实现无差调节?

    一次调频和二次调频的概念 一次调频可以实现无差调节? 一、一次调频和二次调频的概念 1. 一次
    的头像 发表于 10-17 16:15 5020次阅读

    XILINX FPGA IPDDS Compiler_ip例化仿真

    之前的文章对dds ip 的结构、精度、参数、接口进行了详细的说明,本文通过例化仿真对该IP的实际使用进行演示。本文例化固定模式和可配置模式两种模式分别例化ip并仿真,说明该
    的头像 发表于 09-07 18:31 943次阅读
    XILINX <b class='flag-5'>FPGA</b> <b class='flag-5'>IP</b>之<b class='flag-5'>DDS</b> Compiler_<b class='flag-5'>ip</b>例化仿真

    DDS-IP核的理论知识和应用案例

    DDS,Director Digital Synthesis,直接频率合成技术,是指通过固定频率的参考时钟(采样时钟)生成指定频率的正余弦信号。采用FPGA配合DAC芯片,可以实现频率
    的头像 发表于 08-22 16:30 2329次阅读
    <b class='flag-5'>DDS-IP</b>核的理论知识和应用案例

    LogiCORE DDS IP v1.0用户手册

    DDS(直接数字合成器) 是一款逻辑 IP 核,它可以提供适用于多种场景的正弦/余弦波形。DDS 主要由相位发生器和正弦/余弦查找表组成。 直接数字合成器也叫做 NCO(Numerically
    发表于 08-09 06:11

    FPGADDS信号源中的应用

    的频率合成器相比,DDS具有低成本、低功耗、高分辨率和快速转换时间等优点,广泛使用在电信与 电子 仪器领域,是实现设备全数字化的一个关键技术。在各行各业的测试应用中,信号源扮演着极为重要的作用。但
    的头像 发表于 07-24 17:30 540次阅读
    <b class='flag-5'>FPGA</b>和<b class='flag-5'>DDS</b>在<b class='flag-5'>信号</b>源中的应用

    Xilinx Vivado DDS IP使用方法

    DDS(Direct Digital Frequency Synthesizer) 直接数字频率合成器,本文主要介绍如何调用Xilinx的DDS IP核生成某一频率的Sin和Cos信号
    的头像 发表于 07-24 11:23 2143次阅读
    Xilinx Vivado <b class='flag-5'>DDS</b> <b class='flag-5'>IP</b>使用方法

    雷达信号处理-线性调频波形产生

    下图为上图线性调频信号对应额的匹配滤波系数,该系数与原始波形的关系为共轭(虚部反相)镜像(时间上翻转)。
    的头像 发表于 07-04 15:21 1046次阅读
    雷达<b class='flag-5'>信号</b>处理-<b class='flag-5'>线性</b><b class='flag-5'>调频</b>波形产生

    求一种FPGA实现图像去雾的实现设计方案

    本文详细描述了FPGA实现图像去雾的实现设计方案,采用暗通道先验算法实现,并利用verilog并行执行的特点对算法进行了加速;
    发表于 06-05 17:01 900次阅读
    求一种<b class='flag-5'>FPGA</b><b class='flag-5'>实现</b>图像去雾的<b class='flag-5'>实现</b><b class='flag-5'>设计方案</b>

    FPGA常用运算模块-DDS信号发生器

    本文是本系列的第六篇,本文主要介绍FPGA常用运算模块-DDS信号发生器,xilinx提供了相关的IP以便于用户进行开发使用。
    的头像 发表于 05-24 10:37 4101次阅读
    <b class='flag-5'>FPGA</b>常用运算模块-<b class='flag-5'>DDS</b><b class='flag-5'>信号</b>发生器

    基于FPGA的数字视频信号处理器设计

    今天给大侠带来基于FPGA的数字视频信号处理器设计,由于篇幅较长,分三篇。 今天带来第一篇,上篇,视频信号概述和视频信号处理的框架。 话不多说,上货。
    的头像 发表于 05-19 10:56 1030次阅读
    基于<b class='flag-5'>FPGA</b>的数字视<b class='flag-5'>频信号</b>处理器设计