0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
会员中心
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

新思科技Fusion技术助力三星7LPP EUV工艺降低功耗、缩小面积并提高性能

章鹰观察 来源:电子发烧友 作者:厂商供稿 2018-07-05 14:15 次阅读
加入交流群
微信小助手二维码

扫码添加小助手

加入工程师交流群

全球第一大芯片自动化设计解决方案提供商及全球第一大芯片接口IP供应商、信息安全和软件质量的全球领导者新思科技(Synopsys, Inc.,纳斯达克股票市场代码: SNPS )近日宣布,新思科技Design Platform Fusion 技术已通过三星认证,可应用于其7纳米(nm)低功耗+(LPP-Low Power Plus)工艺的极紫外(EUV)光刻技术。新思科技Design Platform为基于EUV单次曝光布线和连排打孔提供完备的全流程7LPP支持,以确保最大程度地实现设计的可布线性和利用率,同时最大限度地降低电压降(IR-drop)。新思科技的SiliconSmart® 库表征工具对于研发在该认证工艺上建立参考流程所使用的基础IP非常关键。三星已经认证了新思科技 Design Platform工具和参考流程,该流程与Lynx Design System兼容,配备用于自动化和设计最佳实践的脚本。该参考流程可通过三星Advanced Foundry Ecosystem (SAFE™) 计划获得。

三星电子代工市场营销团队副总裁Ryan Sanghyun Lee表示:“通过与新思科技的深入合作,我们7LPP工艺上的认证和参考流程将为我们共同的客户在设计上实现最低功耗、最佳性能和最优面积。使用经过验证并集成了Fusion技术的新思科技 Design Platform,我们的代工客户可以放心地使用新思科技最先进的EUV工艺量产他们的设计。”

新思科技设计事业部营销与商务开发副总裁Michael Jackson表示:“我们与三星的工具和参考流程合作重点在于使设计人员能够使用三星最新的EUV 7LPP工艺在最高可信度下获得最佳结果质量。采用集成了Fusion技术的新思科技Design Platform,可扩展7LPP参考流程将使设计人员能够轻松实现他们期望的设计和时间目标。”

基于ARMv8架构的64位Arm Cortex-A53处理器被用于结果质量(QoR)优化和流程认证。新思科技Design Platform 7LPP参考流程的关键工具和功能包括:

IC Compiler II布局和布线:基于EUV单次曝光的布线具备优化的7LPP设计规则支持,以及连排打孔以确保最大的设计可布线性和利用率,同时最大限度地减少电压降。

Design Compiler Graphical RTL综合:与布局布线结果的相关性,拥塞减少,优化的7LPP设计规则支持以及向IC Compiler II提供物理指导 。

IC Validator物理signoff:高性能DRC signoff,LVS感知型短路查找器、signoff填充、模式匹配和独特的采用Explorer技术的Dirty Data分析,以及带有DRC自动修复的设计内验证和在IC Compiler II中的准确感知时序的金属填充。

PrimeTime时序signoff:近阈值超低电压变化建模,过孔变化建模和感知布局规则的工程变更指令(ECO)指导。

StarRC™寄生参数提取:EUV基于单次曝光模式的布线支持,以及新的提取技术,如基于覆盖率的过孔电阻

RedHawk™Analysis Fusion:ANSYS® RedHawk™驱动的在IC Compiler II中的EM/IR分析和优化,包括过孔插入和电网增幅。

DFTMAX™和TetraMAX® II测试:基于FinFET、单元感知和基于时序裕量的转换测试以获得更高的测试质量。

Formality®形式验证:基于UPF、带状态转换验证的等价性检查。

目前可通过SAFE™计划获得与新思科技Lynx Design System兼容并经认证的可扩展参考流程。Lynx Design System是一个全芯片设计环境,包含创新的自动化和报告功能,可帮助设计人员实施和监控其设计。它包括一个生产化RTL-to-GDSII流程,可简化和自动化许多关键的设计实现和验证任务,使工程师能够专注于实现性能和设计目标。SAFE™计划提供由三星认证支持并经广泛测试的工艺设计套件(PDK)和参考流程(与设计方法)。

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 三星电子
    +关注

    关注

    34

    文章

    15891

    浏览量

    182876
  • 新思科技
    +关注

    关注

    5

    文章

    925

    浏览量

    52639
  • 光刻技术
    +关注

    关注

    1

    文章

    151

    浏览量

    16462
收藏 人收藏
加入交流群
微信小助手二维码

扫码添加小助手

加入工程师交流群

    评论

    相关推荐
    热点推荐

    三星公布首批2纳米芯片性能数据

    三星公布了即将推出的首代2nm芯片性能数据;据悉,2nm工艺采用的是全栅极环绕(GAA)晶体管技术,相比第二代3nm工艺
    的头像 发表于 11-19 15:34 1032次阅读

    0201三星贴片电容的优势与应用

    三星0201贴片电容凭借0.50mm×0.25mm的极致尺寸(部分批次为0.6mm×0.3mm),在有限空间内实现高性能集成,成为推动电子设备小型化与功能升级的关键元件。 0201三星贴片电容的优势
    的头像 发表于 11-12 15:10 235次阅读
    0201<b class='flag-5'>三星</b>贴片电容的优势与应用

    PD快充MOS管高性能低内阻SGT工艺场效应管HG5511D应用方案

    组成部分。 快充关键元器件的性能适配方向 在 USB PD 快充电源方案中,同步整流用 MOS 管需满足多维度性能要求,以适配快充场景的实际需求,主要包括以下个方向: 低内阻与低功耗
    发表于 11-03 09:28

    思科技与三星深化合作加速AI和Multi-Die设计

    的经认证EDA流程优化功耗性能面积(PPA),并通过三星最新先进工艺技术支持的高质量IP产品组合可有效
    的头像 发表于 07-18 13:54 749次阅读

    高性能低功耗双核Wi-Fi6+BLE5.3二合一

    处理器芯片nRF5340设计的紧凑型模块——PTR5302。这款模块具有高性能低功耗、双内核的特点,将为物联网应用带来更加强大的无线连接能力和更高的性能表现。PTR5302采用了Nordic的超
    发表于 06-28 21:42

    回收三星S21指纹排线 适用于三星系列指纹模组

    深圳帝欧电子回收三星S21指纹排线,收购适用于三星S21指纹模组。回收三星指纹排线,收购三星指纹排线,全国高价回收三星指纹排线,专业求购指纹
    发表于 05-19 10:05

    三星在4nm逻辑芯片上实现40%以上的测试良率

    较为激进的技术路线,以挽回局面。 4 月 18 日消息,据韩媒《ChosunBiz》当地时间 16 日报道,三星电子在其 4nm 制程 HBM4 内存逻辑芯片的初步测试生产中取得了40% 的良率,这高于
    发表于 04-18 10:52

    千亿美元打水漂,传三星取消1.4nm晶圆代工工艺

    次公开了 SF1.4(1.4nm 级别)工艺,原预计 2027 年实现量产。按照三星当时的说法,SF1.4 将纳米片的数量从 3 个增加到 4 个,有望显著改善芯片在性能功耗方面的表
    的头像 发表于 03-23 11:17 1736次阅读

    千亿美元打水漂,传三星取消1.4nm晶圆代工工艺

    次公开了 SF1.4(1.4nm 级别)工艺,原预计 2027 年实现量产。按照三星当时的说法,SF1.4 将纳米片的数量从 3 个增加到 4 个,有望显著改善芯片在性能功耗方面的表
    的头像 发表于 03-22 00:02 2369次阅读

    三星电容的MLCC技术有哪些优势?

    )O₃(PZT)或改良的复合陶瓷系统。这些材料在电场作用下能储存大量电荷,从而实现高电容密度。 先进的粉末制备工艺三星通过先进的粉末制备工艺,生产出粒径小且分布均匀的陶瓷粉体。这种粉体有助于
    的头像 发表于 03-13 15:09 927次阅读
    <b class='flag-5'>三星</b>电容的MLCC<b class='flag-5'>技术</b>有哪些优势?

    三星已量产第四代4nm芯片

    节点(SF4X)采用了后端布线技术提高芯片性能,而且还能降低制造成本;该工艺专注于人工智能等高性能
    的头像 发表于 03-12 16:07 1.3w次阅读

    PHY6236蓝牙5.4超低功耗高性能无线通信SOC 智能物流管理应用

    仓储物流场景,能够实现货物的实时动态有序管理,提高物资流转速度和准确性‌ PHY6236超低功耗高性能蓝牙无线通信系统级芯片,集成高性能32位 MCU,具有8KB保留SRAM、80KB
    发表于 01-23 16:44

    三星否认重新设计1b DRAM

    问题,在2024年底决定在改进现有1b nm工艺的同时,从头设计新版1b nm DRAM。 不过,三星通过相关媒体表示相关报道不准确。尽管三星否认了重新设计,但有业内人士透露,三星的目
    的头像 发表于 01-23 10:04 1298次阅读

    三星重启1b nm DRAM设计,应对良率与性能挑战

    近日,据韩媒最新报道,三星电子在面对其12nm级DRAM内存产品的良率和性能双重困境时,已于2024年底作出了重要决策。为了改善现状,三星决定在优化现有1b nm工艺的基础上,全面重新
    的头像 发表于 01-22 14:04 1318次阅读

    鸿蒙原生页面高性能解决方案上线OpenHarmony社区 助力打造高性能原生应用

    随着HarmonyOS NEXT的正式推出,鸿蒙原生应用开发热度高涨,数量激增。但在方应用鸿蒙化进程中,性能问题频出。为此,HarmonyOS NEXT推出了一整套原生页面高性能解决方案,包括
    发表于 01-02 18:00