0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

关于Vivado 2019.1的Dashboard功能详解

FPGA技术驿站 2019-06-12 14:49 次阅读
关于Vivado Dashboard的功能可阅读这篇文章(Vivado 2018.3这个Gadget你用了吗)Vivado 2019.1的Dashboard功能进一步增强。Dashboard可以是空的,通过点击Add Gadget来添加显示项目。例如:显示Impl_1的place_design之后的资源利用率。同时,每个Gadget的显示方式是可编辑的,只需点击如下图中的红色椭圆框住的标记。对于多个Gadget,可将其展开或折叠,以便于查看,只需点击如下图中的蓝色椭圆框住的标记。由此可以看出,Gadget是Dashboard的构成组件。

Vivado 2019.1,对于新的Design Run的报告可自动添加到Gadget中,如下图所示。从而可生成相应的比较内容。

Gadget比较的内容其实跟Report紧密相关,而Report则跟Report Strategy紧密相关。而一旦更改Report Strategy就会清空之前生成的报告同时重新运行相应的Design Run。因此,最好运行多个Design Run之前明确关注对象,比如:如果关注的是时序,那么相应的Report Strategy就选择Timing Closure Reports;如果更关注资源利用率,那么相应的Report Strategy就选择Default Reports。这样的好处在于Gadget的比较项目可以很快生成。如果要比较资源利用率,而Report Strategy选择的是Timing Closure Reports,那就无法生成相应的Gadget,因为Utilization的报告未生成。

Gadget的好处在于可以比较不同Design Run的项目(时序,资源利用率,UFDM等),同时还可以比较同一DesignRun的项目(时序),这对于观察不同策略对设计的影响是非常有利的。

看两个Gadget:

不同Design Run的资源利用率:

同一Design Run不同阶段的时序:


声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • Vivado
    +关注

    关注

    18

    文章

    790

    浏览量

    65107

原文标题:Vivado 2019.1新特性(1):Dashboard

文章出处:【微信号:Lauren_FPGA,微信公众号:FPGA技术驿站】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    Vivado Waveform功能使用技巧详解

    配置显示为untitled。打开仿真后,File菜单中有与波形配置相关的指令:     这些控制功能依次是: New Waveform Configuration:创建一个新的波形配置,Vivado
    的头像 发表于 01-03 09:22 7524次阅读
    <b class='flag-5'>Vivado</b> Waveform<b class='flag-5'>功能</b>使用技巧<b class='flag-5'>详解</b>

    Data Dashboard 外网访问

    有谁尝试过Data Dashboard 访问外网的数据吗,具体要怎么操作,内网是我试过可以,但外网就报错了
    发表于 04-16 22:14

    有关Data Dashboard app的问题求解

    各位大侠们,最近在搞一个手机与Labview的小程序,我华为手机,android8.0的系统(用同事的7.0的系统同样闪退),装了Data Dashboard,实际运行时总出现闪退,Data Dashboard.apk,放手机里我看版本是2.2.1版,不知如何解决,希望各
    发表于 03-01 15:22

    Data Dashboard for LabVIEW的优势

    。5.如何使用这个产品?Data Dashboard目前对各个平台支持的力度并不一样。iPad版本功能最为完整(下面的使用方法也在iPad上演示),在智能手机和Windows 8平板上目前只支持显示
    发表于 04-19 09:40

    熟悉Vivado HLS基本功能要多少时间?

    您好Xilinx的用户和员工,我们正在考虑购买Zynq 7000用于机器视觉任务。我们没有编程FPGA的经验,并希望使用Vivado HLS来指导和加速我们的工作。关于这种方法的一些问题:您对
    发表于 03-25 09:04

    Kubernetes Dashboard实践学习

    关于Kubernetes Dashboard的实践学习
    发表于 04-10 14:09

    萌新求助,关于H桥驱动电机STM32 PWM互补输出功能误用分析求详解

    萌新求助,关于H桥驱动电机STM32 PWM互补输出功能误用分析求详解
    发表于 10-18 08:33

    VB中关于MSComm控件使用详解

    VB中关于MSComm控件使用详解
    发表于 12-16 15:35 18次下载

    Vivado中新建工程或把IP搭建成原理图详解

    本文主要详解Vivado中新建工程或把IP搭建成原理图,具体的跟随小编一起来了解一下。
    的头像 发表于 06-30 04:51 1.2w次阅读
    <b class='flag-5'>Vivado</b>中新建工程或把IP搭建成原理图<b class='flag-5'>详解</b>

    Vivado使用误区与进阶——在Vivado中实现ECO功能

    关于Tcl在Vivado中的应用文章从Tcl的基本语法和在Vivado中的应用展开,介绍了如何扩展甚至是定制FPGA设计实现流程后,引出了一个更细节的应用场景:如何利用Tcl在已完成布局布线
    发表于 11-18 18:26 5038次阅读
    <b class='flag-5'>Vivado</b>使用误区与进阶——在<b class='flag-5'>Vivado</b>中实现ECO<b class='flag-5'>功能</b>

    Vivado Lab Edition的功能优点及使用

    了解新Vivado Lab Edition的功能和优点,并熟悉其安装和典型使用流程。
    的头像 发表于 11-30 06:40 1.7w次阅读

    软件更新:Vivado 2019.1 现已开放下载

    软件更新:Vivado 2019.1 现已开放下载
    的头像 发表于 07-02 12:03 1.1w次阅读

    Vivado综合引擎的增量综合流程

    Vivado 2019.1 版本开始,Vivado 综合引擎就已经可以支持增量流程了。这使用户能够在设计变化较小时减少总的综合运行时间。
    发表于 07-21 11:02 1391次阅读

    如何建立Vivado工程以及硬件配置

    注意:目前这个是Micrium官网的最新版本,该版本支持Vivado2019.1。但测试使用的是Vivado2018.3。
    的头像 发表于 08-01 11:53 2308次阅读

    Vivado中实现ECO功能

    关于 Tcl 在 Vivado中的应用文章从 Tcl 的基本语法和在 Vivado 中的 应用展开,继上篇《用 Tcl 定制 Vivado 设计实现流程》介绍了如何扩展甚 至是定制 F
    的头像 发表于 05-05 15:34 1803次阅读
    在<b class='flag-5'>Vivado</b>中实现ECO<b class='flag-5'>功能</b>