0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

uvm1.1升级为uvm1.2 uvm_report_server报错是何原因?

全栈芯片工程师 来源:全栈芯片工程师 2024-03-04 14:18 次阅读

ISP算法仿真中,小编会用reference model调用DPI接口C++ 算法实现pixel算法处理,然后和DUT算法处理输出的pixel值进行比较,比较时候发现报错,报错代码如下,原因是小编把uvm1.1升级为uvm1.2了。

  function void report_phase(uvm_phase phase);
       uvm_report_server svr;
svr=_global_reporter.get_report_server();

a174c030-d95c-11ee-a297-92fbcf53809c.png

a181ea44-d95c-11ee-a297-92fbcf53809c.png

修改办法很简单,重新仿真即可通过。

a19994fa-d95c-11ee-a297-92fbcf53809c.png


审核编辑:刘清
声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • ISP
    ISP
    +关注

    关注

    6

    文章

    457

    浏览量

    50847
  • UVM
    UVM
    +关注

    关注

    0

    文章

    181

    浏览量

    18965
  • DUT
    DUT
    +关注

    关注

    0

    文章

    180

    浏览量

    11998

原文标题:uvm1.1升级为uvm1.2 uvm_report_server报错?

文章出处:【微信号:全栈芯片工程师,微信公众号:全栈芯片工程师】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    vcs和xrun搭配uvm1.1/uvm1.2版本库的使用情况

    我们在项目中有时候需要使用不同的UVM版本库进行仿真,有时候还会在不同的仿真器之间进行切换,本文简单总结了一下vcs和xrun搭配uvm1.1/uvm1.2版本库的使用情况,希望能帮助到一些朋友。
    的头像 发表于 10-27 09:41 1611次阅读

    什么是uvm_reg_field?

    uvm_reg_field是什么
    发表于 12-17 06:10

    什么是UVM Report机制?

    UVM Report机制概述
    发表于 12-21 06:55

    数字IC验证之“什么是UVM”“UVM的特点”“UVM提供哪些资源”(2)连载中...

    为构建平台的结构上花去过多的时间,可以把精力集中放在事物建模以及结果的分析上。另外,由于不同项目的平台的结构和使用方法基本相同,这就为多个验证工程师之间的沟通交流提供了方便,uvm验证工程师提供了
    发表于 01-21 16:00

    什么是uvmuvm的特点有哪些呢

    大家好,我是一哥,上章内容我们介绍什么是uvmuvm的特点以及uvm用户提供了哪些资源?本章内容我们来看一看一个典型的uvm验证平台应该
    发表于 02-14 06:46

    谈谈UVM中的uvm_info打印

    uvm_report_info(xxx)函数调用当前m_rh的report(xxx)函数来打印message。但在m_rh.report(xxx)内部其实是调用uvm_report_serv
    发表于 03-17 16:41

    UVM中seq.start()和default_sequence执行顺序

    :seq2会先启动。也就是说进入run_phase之后,会先执行seq2的body()内容,等退出seq2之后,再执行seq1的body()内容,串行执行的。  2. 原理  可以打开UVM-1.1d源码
    发表于 04-04 17:15

    我的第一个UVM代码——Hello world

    `uvm_component_utils有关 仿真命令: irun -sv -uvmhome \\\\ /.../ies/tools/methodology/UVM/CDNS-1.2/sv \\\\ hello_world.sv
    发表于 11-03 10:18

    UVM1.1的应用指南及源代码分析资料概述

    本章第一节将大体介绍一下感性意义上的UVM,这里不会讲的很详细,因为关于UVM的历史在网上已经有太多的资料第二节讲述验证平台的组成,这里也只是介绍一个轮廓。第三节开始则会教我们一步一步搭建一个UVM
    发表于 07-01 08:00 69次下载
    <b class='flag-5'>UVM1.1</b>的应用指南及源代码分析资料概述

    UVM学习笔记(一)

    driver应该派生自uvm_driver,而uvm_driver派生自uvm_component。
    的头像 发表于 05-26 14:38 885次阅读
    <b class='flag-5'>UVM</b>学习笔记(一)

    UVM里的6个常见参数介绍分析

    UVM预先定义了六个详细程度; UVM_NONE到UVM_DEBUG。这些级别只不过是整数枚举值
    的头像 发表于 06-06 12:33 2827次阅读
    <b class='flag-5'>UVM</b>里的6个常见参数介绍分析

    UVM中的uvm_do宏简析

    uvm_do宏及其变体提供了创建、随机化和发送transaction items或者sequence的方法。
    的头像 发表于 06-09 09:36 2912次阅读
    <b class='flag-5'>UVM</b>中的<b class='flag-5'>uvm</b>_do宏简析

    UVMuvm_config_db机制背后的大功臣

    本次讲一下UVM中的uvm_config_db,在UVM中提供了一个内部数据库,可以在其中存储给定名称下的值,之后可以由其它TB组件去检索。
    的头像 发表于 06-20 17:28 798次阅读

    UVMuvm_config_db机制背后的大功臣

    本次讲一下UVM中的uvm_config_db,在UVM中提供了一个内部数据库,可以在其中存储给定名称下的值,之后可以由其它TB组件去检索。
    的头像 发表于 06-29 16:57 616次阅读

    一文详解UVM设计模式

    本篇是对UVM设计模式 ( 二 ) 参数化类、静态变量/方法/类、单例模式、UVM_ROOT、工厂模式、UVM_FACTORY[1]中单例模式的补充,分析静态类的使用,UVM中资源池的
    的头像 发表于 08-06 10:38 903次阅读
    一文详解<b class='flag-5'>UVM</b>设计模式