0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

新思科技VC LP Advanced静态低功耗验证解决方案

新思科技 来源:新思科技 2024-02-22 09:56 次阅读

对于当今的移动高性能系统而言,低功耗设计至关重要。低功耗设计能够延长电池续航时间、降低能源成本,为消费者打造更出色的无缝体验,具有更好的可持续性。

虽然降低功耗具有许多优势,但这对芯片开发者和验证/RTL开发者来说是一项超具挑战性的任务。下一代SoC的设计规模预计将扩大10倍,一些设计的低功耗签核可能需要数天才能完成。因此,要帮助开发者在合理的周转时间内验证复杂的低功耗结构,先进的低功耗验证解决方案必不可少。

新思科技的VC LP Advanced静态低功耗验证解决方案提供了优异的解题思路,并已成功通过三星晶圆代工厂(以下简称三星)的认证。作为静态检查解决方案,VC LP Advanced技术能够有效应对低功耗设计的验证复杂性,并简化和加快调试过程。

通过在RTL、综合后阶段和布局布线后阶段采用新思科技的VC LP Advanced解决方案,三星实现了十亿门级5nm低功耗移动设计签核,与传统方法相比,能够更早、更高效地发现低功耗错误。得益于多线程技术,仿真过程现在能够更高效地识别动态低功耗问题,从而使运行速度加快大约一倍。此外,签核抽象模型(SAM)流程可显著缩短运行时,实现整个芯片层面的顶层验证。这些优势帮助我们节省了大量开发时间,使验证团队能够专注于更多高价值任务。

Jianfeng Liu

设计技术团队首席工程师

三星

86a6c374-d09d-11ee-a297-92fbcf53809c.png

三星的低功耗设计使用了极其复杂的低功耗SoC设计技术,虽然可实现精细的电源管理,但也增加了设计和验证过程的复杂性。以下是三星晶圆代工厂设计中的一些常见低功耗技术:

功率门控与隔离单元:功率门控技术用于在IC设计中实现电源开关,需要在电源关闭时使用隔离门将电源域的边界箝位至已知值。电源管理单元通过使能信号来控制电源开关和隔离单元,以确保关断期间,在合适的时间箝位至恰当的值。

保留单元:保留单元是与功率门控一同使用的另一项技术。在每个关断模块中,当模块关闭时,模块中的部分或全部触发器都会保存先前的值。模块通电后,先前保存的值将恢复。这不仅减少了获得已保存状态所需的时间和步骤,还缩短了将模块恢复到先前功能水平所需的整体时间,从而有效节省功耗。

电压转换器:为了实现多电压设计,需要在各个单独的电压岛中进行设计,而岛与岛之间的跨电压可能需要使用“电平转换器”单元,以实现和分析具有不同电压特性的模块。

与始终在线的SoC设计相比,复杂的低功耗SoC设计架构以及上文提到的设计元素给芯片验证和批准带来了更大的挑战。事实上,SoC级低功耗签核的复杂性远超IP级验证,因为牵涉到复杂的设计规模、数百个电源域以及数百万个需要验证的低功耗状态。此外,还存在因IP集成而起的架构复杂性,比如功能贯穿、反馈环路和基于IP所有权的报告分隔。

86b1f0f0-d09d-11ee-a297-92fbcf53809c.png

VC LP Advanced技术等静态检查解决方案能够简化并加快调试过程,从而有效降低上述复杂性。新思科技的VC LP Advanced解决方案兼备机器学习根本原因分析(ML-RCA)功能,可通过软件找出问题的根本原因,联动解决报告中其他行的错误,而不是对着一份误报非常多的报告进行调试。ML-RCA功能实际上会将大量违例进行归类,并附上具体的根本原因。在此基础上,用户可以按照类别进行调试,而不必逐一检查每个违例。VC LP可无缝扩展,以满足SoC级的复杂性、容量和性能要求,并加快从RTL到电源网格网表的低功耗签核。

最终,在支持机器学习的大容量VC LP Advanced解决方案的协助下,三星实现了高度复杂的十亿门级5nm移动低功耗芯片的一次性流片成功,并按时交付产品

审核编辑:汤梓红

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 新思科技
    +关注

    关注

    5

    文章

    716

    浏览量

    50065
  • 低功耗芯片
    +关注

    关注

    0

    文章

    23

    浏览量

    7874
  • 三星
    +关注

    关注

    0

    文章

    1139

    浏览量

    30185

原文标题:新思科技与三星联手给出5nm移动低功耗芯片一次性流片成功的解题思路

文章出处:【微信号:Synopsys_CN,微信公众号:新思科技】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    Synopsys推出一款低功耗静态规则检查工具—VCLP

    VCLP(VC Low Power)是Synopsys提供的一款低功耗静态规则检查工具,它能够帮助验证和清洁IEEE 1801 Unified Power Format (UPF)
    的头像 发表于 04-15 11:25 237次阅读
    Synopsys推出一款<b class='flag-5'>低功耗</b><b class='flag-5'>静态</b>规则检查工具—VCLP

    思科技正式推出业界首个1.6T以太网IP整体解决方案

    思科技1.6T以太网IP整体解决方案现已上市并被多家客户用,与现有实现方案相比,其互连功耗最多可降低50%
    的头像 发表于 03-19 10:23 149次阅读

    实战经验 | 如何使用 S2LP 的 sniff 模式同时满足通讯和低功耗要求

    关键词:S2LP, Sniff 模式,低功耗 目录预览 1、引言 2、预设应用场景 3、LDC/Sniff 模式简介 4、解决方案 5、小结 01 引言 某些客户在其 S2LP 的设计
    的头像 发表于 12-16 15:55 325次阅读

    MCU在低功耗时的静态功耗解析

    随着半导体技术和工艺的进步,MCU的功耗做的是越来越好。很多厂家都宣传自家的MCU是低功耗(Low Power)或者超低功耗(Ultra Low Power)。
    的头像 发表于 12-13 09:06 674次阅读
    MCU在<b class='flag-5'>低功耗</b>时的<b class='flag-5'>静态</b><b class='flag-5'>功耗</b>解析

    低功耗Wi-Fi无线连接解决方案

    当前的工业4.0正在改变工业领域的发展,并创造了数万亿美元的新市场机会,其中的节能制造、供应链和资产密集型场所,均可以用工业物联网(IIoT)智能解决方案来实现。用于IIoT连接的低功耗Wi-Fi
    的头像 发表于 11-15 10:13 562次阅读
    <b class='flag-5'>低功耗</b>Wi-Fi无线连接<b class='flag-5'>解决方案</b>

    想你所想,Prisemi芯导推出高集成度低功耗TWS耳机充电全新解决方案

    想你所想,Prisemi芯导推出高集成度低功耗TWS耳机充电全新解决方案
    的头像 发表于 11-01 14:55 229次阅读
    想你所想,Prisemi芯导推出高集成度<b class='flag-5'>低功耗</b>TWS耳机充电全新<b class='flag-5'>解决方案</b>

    思科技的软件质量与安全解决方案获得行业认可

      在当今数智化时代,软件已成为各行业的核心竞争力。新思科技致力于为客户提供最优质的软件产品和服务。近期,新思科技的软件质量与安全解决方案获得了包括业内权威机构和客户等多方的赞誉和认可。 新
    的头像 发表于 10-17 10:14 727次阅读

    合宙4G低功耗解决方案,超低功耗&amp;lt;3μA

    有电池的场景(比如:远程⽔表、燃气表、智能⻔锁等行业应用),总是不断寻求功耗的更优方案功耗越低,使⽤时间越长,项目成本也就更低。合宙4G低功耗解决⽅案——三种
    的头像 发表于 07-31 18:45 1043次阅读
    合宙4G<b class='flag-5'>低功耗</b><b class='flag-5'>解决方案</b>,超<b class='flag-5'>低功耗</b>&amp;lt;3μA

    低功耗系统在降低功耗的同时保持精度所涉及的时序因素和解决方案

    本文将介绍低功耗系统在降低功耗的同时保持精度所涉及的时序因素和解决方案,以满足测量和监控应用的要求。
    的头像 发表于 07-11 16:16 406次阅读
    <b class='flag-5'>低功耗</b>系统在降<b class='flag-5'>低功耗</b>的同时保持精度所涉及的时序因素和<b class='flag-5'>解决方案</b>

    VC LP解决方案:左手极致低功耗,右手高效验证左移

    随着半导体行业朝着更先进的制程节点方向发展,静态功耗已成为主要的设计限制因素。为此,亟需开发各种电源管理技术。例如,让开发者可以创建多个电压域,或者使用隔离单元将关断的电源域与上电的电源域隔离
    的头像 发表于 07-03 18:35 2498次阅读

    电池续航超10年?!合宙4G低功耗解决方案,超低功耗&amp;lt;3μA

    有电池的场景(比如:远程⽔表、燃气表、智能⻔锁等行业应用),总是不断寻求功耗的更优方案功耗越低,使⽤时间越长,项目成本也就更低。合宙4G低功耗解决⽅案——三种
    的头像 发表于 06-20 10:30 2101次阅读
    电池续航超10年?!合宙4G<b class='flag-5'>低功耗</b><b class='flag-5'>解决方案</b>,超<b class='flag-5'>低功耗</b>&amp;lt;3μA

    思科技系统级解决方案赋能Arm全新计算平台,携手加速下一代移动SoC开发

    思科技系统级全方位解决方案涵盖了设计、验证、芯片生命周期管理和IP,可提供业界领先的性能和能效 Synopsys.ai全栈式人工智能驱动型EDA解决方案和新
    的头像 发表于 06-07 01:50 408次阅读
    新<b class='flag-5'>思科</b>技系统级<b class='flag-5'>解决方案</b>赋能Arm全新计算平台,携手加速下一代移动SoC开发

    芯原低功耗蓝牙整体解决方案完成蓝牙5.3认证

    芯原股份(芯原,股票代码:688521.SH)今日宣布其低功耗蓝牙整体解决方案已完成蓝牙技术联盟(Bluetooth SIG)发布的蓝牙5.3认证。该整体解决方案包括芯原自主研发的射频(RF)IP
    的头像 发表于 06-06 09:59 532次阅读

    思尔芯系统级验证原型解决方案助力BLE Audio领域的IP/蓝牙SoC快速设计

    思尔芯(S2C)近日宣布,公司的系统级验证原型验证解决方案获得了较为全面的正向市场反馈,成功协助多家设计企业完成低功耗蓝牙音频(BLE Audio)领域的IP/蓝牙SoC定制
    的头像 发表于 05-30 15:52 431次阅读

    思尔芯系统级验证原型解决方案助力BLE Audio领域的IP/蓝牙SoC快速设计

    思尔芯(S2C)近日宣布,公司的系统级验证原型验证解决方案获得了较为全面的正向市场反馈,成功协助多家设计企业完成低功耗蓝牙音频(BLEAudio)领域的IP/蓝牙SoC定制
    的头像 发表于 05-08 09:29 307次阅读
    思尔芯系统级<b class='flag-5'>验证</b>原型<b class='flag-5'>解决方案</b>助力BLE Audio领域的IP/蓝牙SoC快速设计