0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

以市场为中心、“接地气”的创新,大咖共话EDA与IP的新征程

花茶晶晶 来源:电子发烧友网 作者:黄晶晶 2023-12-13 11:41 次阅读


在第29届中国集成电路设计业2023年会暨广州集成电路产业创新发展高峰论坛ICCAD)上,中国半导体行业协会IC设计分会理事长、清华大学集成电路学院教授魏少军给出最新数据,2023年中国IC设计企业数量为3451家,比上年的3243家多208家,全行销售预计为5774亿元,相比2022年增长8%。


数据显示,中国EDA企业已经达到87家。EDA发展正面临着新机遇,一些因素驱动着EDA发展,例如后摩尔时代技术演进,新工艺新方法新材料的出现,5G汽车电子、硅光芯片等新兴应用牵引,AI提高EDA效率,EDA云平台提供强大算力、降低设计成本等。同样的国内IP厂商模拟射频、高速接口等方面因应物联网、服务器等市场而快速发展。在ICCAD2023上,多家行业领先的EDA和IP企业接受包括电子发烧友在内的行业媒体采访,在这个年度关口畅谈半导体上游创新与发展等话题

国产EDA如何点连成面


中国半导体设计急需处理数字大芯片的能力,首先就需要EDA的支撑。合见工软自成立以来集资本、顶级技术专家、管理团队以及千名员工的合力,在打造数字验证全平台、系统级软硬件协同设计以及IP产品线等方面逐步拓展发力。

合见工软集团总裁徐昀坦言,现在行业呼吁的数字EDA工具的全流程依然面临困难。首先是大部分客户是商用客户,在能够使用成熟现成工具的情况下为何要用国产,并且如果不是全流程的工具平台,而是点工具更加容易被排除在外。同时,EDA各个关键环节的协同优化,跨组织的协同优化较难实现。

图:合见工软集团总裁徐昀


她说,每一个EDA工具都需要客户花大量时间帮助其进行试用、迭代和打磨。那么客户也会有作为初创的EDA企业能否活得下去的顾虑。因此国产EDA公司本身就需要资金雄厚,做EDA不是短期投资有回报而是要长期投入的领域。

国产EDA要考虑在整个中国集成电路设计和制造行业向前发展时,EDA如何助其解决现实问题。国产EDA并不是跟着三大家后面做简单的国产替代,而是要做下一代的产品。徐昀相信,不论当前国产EDA是春秋还是战国时代,最终必将走向统一。中国有机会出现真正在国际上能够具有竞争力的EDA平台型公司

在成立四年多的时间里,鸿芯微纳已实现数字后端全流程工具链的研发并成功流片,获得客户认可。

图:鸿芯微纳首席技术官&联合创始人王宇成


鸿芯微纳首席技术官&联合创始人王宇成表示,新的EDA工具开拓市场, 从来就是充满了困难和阻力。 对于国内新生的EDA产品, 大多数设计公司仍然处在早期探索阶段。 评估工具是很复杂的过程, 决定采购, 直至推广使用更是充满了挑战。国外供应商也是大量的研发投入而不是止步不前。 国产EDA的成功, 仅仅迈开了一小步。国内的EDA工具要先串起来,再进行解决方案的优化,最后形成一个生态。

国微芯执行总裁兼首席技术官白耿博士指出,国产EDA经过几年的发展逐渐完成从0到1的步代,现在来看并不是缺少点工具的公司,而是缺龙头企业,不只是把点工具的全流程集成起来,还要考虑全流程的建设工作,流程之间工具的共性技术等,从而实现1+1>2的全流程。

图:国微芯执行总裁兼首席技术官白耿博士


在建立全流程解决方案的基础上,国内EDA还需要进一步完成DTCO、硅生命周期管理等先进设计理念,形成从IC设计企业、晶圆厂、终端厂商到用户的完整闭环,才能真正拉近国内与国际EDA技术水平距离。

芯行纪资深技术副总裁邵振则谈到国产EDA切入客户的问题,最开始的敲门砖是入门的机会或者说证明产品的机会,尤其是布局布线类的EDA软件被证明的过程短则一两个月,长则半年以上,信任建立起来之后是一个循序向上的过程。直到Tape-Out才能说明你的产品真正落地。

图:芯行纪资深技术副总裁邵振


合见工软已经积累了两百多家国内客户。由于工艺受限,对芯粒、先进封装、板级优化的需求非常高,合见工软的工具和解决方案也在满足所需,并得到国内大数据芯片客户的认可。
尽管和国际领先EDA公司有技术上的差距,但是国内EDA公司仍然有其优势。徐昀谈到,我们对客户的研发要求、配合响应度都是非常好的。这是在这样一个寡头垄断的市场当中头部企业很难做到的。

EDA的创新发展


西门子EDA全球副总裁兼中国区总经理凌琳表示,西门子的数字化是希望让微观世界和最终产品实体的物理世界对接得更完美。因此不断加强EDA和工业软件整个工具组成,例如加入流体力学分析,进行应力、温度、产品产品内部的热分析等。西门子EDA也会提供给客户一个协同、创新、强劲、开放的平台,帮助客户的产品成功。

图:西门子EDA全球副总裁兼中国区总经理凌琳


国微芯白耿博士指出,尽管国内EDA企业在某些点工具开发方面取得了突破,但大多数仍局限于设计前端,缺乏与工艺厂更高度相关、更复杂的设计后端和制造端工具。国微芯主要专注后端和制造端EDA工具的开发,其特点是专注电路的物理实现,关注可制造性、良率等。

在ICCAD2023上,国微芯发布了“芯天成”系列多款新品,包括物理验证平台核心DRC工具-芯天成设计规则检查工具EsseDRC、物理验证平台版图集成工具EsseDBScope升级版本(新增IP Merge功能)、可靠性平台芯天成可靠性时序分析工具EsseChipRA、形式验证平台的芯天成连接性检查工具EsseCC,晶圆制造OPC平台的基于模型的版图修正工具EsseMBOPC以及基于模型的验证工具EsseVerify。

白耿谈到,当前先进工艺芯片版图越来越大、工艺越变越复杂,读取版图的时间效率比较低,有时甚至需要花费几小时到数十小时。与此同时OPC涉及到大量脚本编程,与物理验证之间语言不通,给前端工程师在编写脚本时带来了很大困难。

而国微芯自主研发了通用数据底座smDB,作为“芯天成”平台的底层共性技术,保证了平台上所有工具使用芯天成统一规则描述格式,通过新编程规则在源代码级别对共用模块进行定义,保证了OPC、DFM等工具之间软件架构、表现形式的一致性。另外这款共用底座支持行业标准版图格式,应用内存映像技术,带来了高效的内存利用率,可实现各工具之间的无缝衔接,同时,版图加载能力也得到大幅度提升,解决了重复研发投入和维护的难题。

思尔芯在国内数字EDA原型验证领域占据约50%的市场份额,过去一年陆续推出新产品包括硬件仿真、软件仿真以及数字电路调试软件等。思尔芯提供的一系列解决方案包括“芯神匠”架构设计、“芯神驰”软件仿真、“芯神鼎”硬件仿真、“芯神瞳”原型验证以及“芯神云”云服务。思尔芯目前已经拥有600多家客户。思尔芯VP陈英仁表示,尽管受IC设计行业景气度影响,一些中小型客户新的设计验证相对进展缓慢,不过相信思尔芯不断丰富的产品线能在明年市场回暖时得到更快的成长。

图:思尔芯VP陈英仁


陈英仁说到,RISC-V架构的应用上,大量不同版本的RISC-VIP需要做配置后,才能符合不同客户的需求,这就需要一个可以跑软件、跑分的性能评估硬件载体。思尔芯今年加入RISC-V国际组织,与同不的IP厂商共同制定规范,与IC设计公司做微架构的调整优化工具。在精确性的前提下充分考虑系统级的问题,避免RISC-V的碎片化问题。

在AI方面,由于AI加速引擎不基于传统的CPU架构,因此新应用催生新算法,软件驱动硬件的思路,怎样通过虚拟仿真、原型验证等工具去配合AI加速器的设计实现,也是思尔芯正在探索的。

芯易荟的FARMStudio是一款以C语言描述,基于RISC-V基础指令集的专用处理器生成工具。针对密集计算和复杂数据处理的应用场景,赋能工程师自由探索计算架构,优化PPA,快速收敛至最佳设计。该工具可广泛应用于定制针对视觉、AI、通信音频、DPU、工业控制等领域的处理器解决方案,助力芯片设计公司高效自研IP。内嵌面向丰富应用场景的DSA设计范式,便于客户快速集成、优化和验证DSA处理器,突破传统IP能效上限,并以更低的成本适应算法与产品的持续迭代。

芯易荟(上海)芯片科技有限公司董事长,首席技术官汪人瑞多年来一直耕耘在EDA行业,着力于工具源头、设计方法学的研究,他说上一代的设计方法通常用HDL来做设计,而如何用新的EDA设计方法和工具帮助行业增加设计效率是个人兴趣所在,也是公司的创新力所在。

图:芯易荟(上海)芯片科技有限公司董事长,首席技术官汪人瑞


汪人瑞谈到,在设计方法里,从上到下从系统、算法到软件再到硬件,这二十多年来存在设计方法的鸿沟。从设计语言上来说有两个层次,一个层次就是软件执行场景,基于图灵机模型,另一个层次则是硬件领域微架构,基于有限状态机。怎样从图灵机下沉到有限状态机,是传统硬件设计架构师和微架构师在做的事情,是个手工过程,通常是用Verilog这样的设计语言来描述的,工作很繁琐,且需要大量的验证工作。

但采用定制处理器来解决运算加速后,开发者使用FARMStudio™,只需要设计运算单元(指令),不需要知道这些指令在流水线里面怎么样走,不需要知道流水线里面是怎样实现跨越、堵塞。描述运算最成熟的语言是C,而且和应用程序可以无缝对接。这正是我们创新的选择C作为设计语言的原因。

芯易荟的工具主要用于定制处理器。汪人瑞表示,行业里的通用处理器并不是芯易荟的目标产品,而是针对特定应用、采用微架构的定制处理器,也就是XPU产品。FARMStudio™可以适用于计算密集型和复杂数据处理的的不同应用场景,例如视觉、AI、通信、音频、DPU、工业控制等。

图:芯易荟(上海)芯片科技有限公司首席执行官汪达钧


未来这类定制处理器将有助于海量数据的分析。芯易荟(上海)芯片科技有限公司首席执行官汪达钧表示,大数据、万物互联时代产生大量的数据,算法和算力如何快速且低成本的分析这些数据是半导体行业向前发展的助推力。对于芯易荟来说,创造以C语言来描述实现算法到算力跨越的专用处理器设计EDA工具,就是顺应大数据的需求,帮助客户打造各种各样的应用,专注于创新和技术沉淀,解决新时代的半导体问题。

芯华章可提供完整的全流程数字验证EDA工具,涵盖硬件仿真、FPGA原型验证、智能场景验证、形式验证、逻辑仿真、系统调试以及验证云等,填补多项国内技术空白,并已全面投入市场化部署,交付多家产业头部用户使用。

图:芯华章CTO 傅勇


在傅勇看来,芯华章的产品布局围绕两条主线展开,一条是补齐验证全流程产品,一条是在汽车电子、GPU等垂直领域提供系统级验证。这构成了芯华章产品发展的“X和Y轴”。

对于汽车芯片的支持,芯华章CTO 傅勇认为EDA应当在早期为车厂和IC公司架起一座桥梁,以帮助车厂做出差异化的产品。甚至未来有可能形成Chiplet的超级市场,那么EDA厂商要帮助IC设计厂商或者车厂实现差异化显得更加重要。

芯华章作为国内数字芯片EDA验证全流程解决方案提供商,相关逻辑仿真工具获得德国莱茵TÜV集团ISO 26262 TCL3功能安全工具认证,能够支持汽车安全完整性标准最高ASIL D级别的芯片开发验证,也是目前国内唯一获得国际安全标准认证的逻辑仿真工具,成为率先在汽车电子领域做垂直布局的中国 EDA 公司。

12月4日,国产高端车规芯片供应商芯擎,官宣导入芯华章车规级EDA工具。最高舞台上,国产EDA和***公司开始联合。

在AI与EDA的结合上,嘉宾们也有自己的思考。芯行纪邵振介绍,AmazeSys是一款布局布线的软件,它是整个芯片设计流程的EDA软件中最复杂的一部分,这款产品在三年前开始定义时就将云计算机器学习等因素放在了底层的数据架构里。芯行纪的想法是先把国内客户做好,再考虑推广到国外。特别是EDA软件基于Linux等各种系统和多种接口,还需要更多时间打磨完善之后再推广到全球。

鸿芯微纳王宇成认为EDA领域的AI大概分两个方向,AI inside和AI outside。AI inside利用人工智能machine learning一些技术来加强EDA传统算法的提升,使工具跑得更快,性能得到提升。在EDA工具打磨到成熟的情况下去进行AI outside,更容易看到成果,甚至是利用ChatGPT大语言模型带来更大的效果。

图:速石科技高级技术总监张大成


速石科技高级技术总监张大成介绍,速石科技的一站式研发平台能够针对性地优化、适配EDA工具,同时结合IT自动化管理、行业最佳实践流程,大幅提升企业研发效率,全面赋能芯片设计与研发。IC设计公司可以借助速石平台实现对企业本地及云端复杂环境的统一协同管理,切实加快项目进程。

今年上半年速石科技为普冉半导体搭建本地一站式研发平台,最终提高了其30%的研发效率,有效降低了CAD工程师的维护开发精力。此外,今年8月,速石科技与芯华章宣布合作,双方从国内芯片企业的实际需求出发,联合推出基于国产EDA工具的一站式芯片设计研发平台,为国内的芯片设计行业提供了高效敏捷的解决方案和技术服务。

IP厂商的新机遇

随着人工智能、物联网的发展和Chiplet等新浪潮出现,其性能提升、技术落地的一个关键底层技术就是芯片IP。魏少军教授指出,Chiplet有可能派生出一个采用第三方小芯片,按照应用需求,通过混合堆叠和集成打造芯片级系统的新商业模式,甚至新业态。国内IP厂商借着新应用发展东风,也开始了积极的技术和产品创新实现。

芯原股份创始人、董事长兼总裁戴伟民认为,当前消费电子存量市场增长有限,我们的眼光应该去拓展新的应用机会。例如AR眼镜这类可穿戴设备。芯原早前就已经与知名国际互联网企业在AR眼镜上展开合作。

图:芯原股份创始人、董事长兼总裁戴伟民


芯原拥有丰富的处理器IP,其中包括已广泛部署在各个高端及主流汽车中的GPU IP及其衍生发展而来的GPGPU,已在全球68家企业的120余款人工智能芯片中获得采用的NPU IP及已被全球前20大云平台解决方案提供商中的12家所采用的VPU IP等。芯原也已经布局了Chiplet接口IP和先进封装技术。

芯耀辉董事长曾克强分析,Chiplet方案会遇到来自技术层面、产业链协同、中国互联标准等方面的挑战。例如在技术上小芯片之间的连接需要高质量的接口IP确保高速传输和低延时、低功耗等问题。Chiplet标准需要EDA、IP、晶圆代工和先进封装等整个产业链的协同。

图:芯耀辉董事长曾克强


据介绍,经过三年的不懈研发,芯耀辉成功推出一系列IP产品,国产先进工艺已经实现了所有主流接口IP的全套量产交付,包括DDR5、PCIe5以及UCIe片间互联等细分品类。芯耀辉积极产业参与国家重点研发计划,是中国Chiplet标准ACC的起草和审阅单位,同时也是《小芯片接口总线技术要求》标准编写的重点贡献企业,从产学研多方面推动国内Chiplet技术及生态链的发展。

此外,在智能汽车领域,芯耀辉是目前国内唯一拥有车规认证的接口IP公司。其相关IP产品通过了AEC-Q100和ISO 26262等车规认证。

奎芯科技副总裁唐睿介绍,作为一家互联IP和Chiplet产品供应商,奎芯科技有高速接口IP,包括最新研发的基于UCIE标准的D2D IP、高带宽内存的HBM3 IP以及高速PCIe4、PCIe5和SerDes IP。基于这些高速接口IP,奎芯科技提出了基于自研接口IP的计算体系互联架构方案,叫M2LINK。

图:奎芯科技副总裁唐睿


M2LINK包含3种Chiplet方案。一种是跟串型总线的接口相互联,叫C2IO;第二是跟内存总线相连,是C2M;还有计算Die之间互联,叫C2C。M2LINK方案通过将HBM/LPDDR的接口协议转成UCIE的协议,组合成标准Chiplet模组,与主SOC合封,以实现降低主芯片成本和封装成本、扩大内存容量和带宽、提升性能等目的。

锐成芯微CEO沈莉表示:“对比之前主要聚焦在消费类的情况,这两年涌现了更多的汽车类、工业类,甚至是信创类等等领域的新项目;同时我们也看到了很多系统厂商开始进入芯片设计行业,这个趋势仍然在增长。”沈莉分享到,“从客户需求来看,当前阶段部分芯片设计公司,特别是还处于发展初期的公司,目前会更谨慎一些,例如在制程的选择上,会更关注项目落地的可能性;相较于最先进的工艺制程,他们现在更倾向于在能打开市场的、够用的范围里作选择。如果这样的势头能够保持下去,加之于很多企业库存的消化也到了一定的阶段,很期待整个半导体设计行业的发展能够持续保持稳健的态势。”

图:锐成芯微CEO沈莉


从市场发展角度,沈莉认为不排除未来国内EDA和国内IP公司,通过收并购、投资或开创新业务的模式进行更多结合。这样的好处是可以提供给设计企业更完整且有差异化的服务,甚至可能出现“EDA+IP+设计”一条龙服务。但需要认清的一个事实是,目前国内无论EDA还是IP企业,与国际巨头相比都还相对弱小,“所以在这个阶段,先满足市场需求,在技术上做出自己的特色,锻造自己的长板,才是我们中小EDA和IP公司更重要的发展方向,而不急于去促成EDA和IP在共同业务上的融合。”这也更符合国内半导体当前发展阶段的需求。


小结:

从采访当中我们能够看到现在EDA和IP企业在物联网、人工智能、5G、新能源汽车应用的推动下以及在地化刚需的要求下,着重于贴近市场贴近客户的创新。很难说这样接地气的创新未来会不会形成行业的引领之势,这或许需要时间来验证。

在魏教授的演讲中也指出,国产替代并不是低水平的代名词,而是高水平的要求,数十年来,大量的电子设备主要依赖的是进口芯片,现在突然改为***,系统厂商对***的兼容性提供严格要求,***在替换时也存在各项指标差异如何去适应系统的要求。

对于未来的发展思路,他明确表示,设计企业以产品为中心,敢于在市场上亮剑,才能让产品通过迭代不断成熟。再全球化的过程中,中国必然主动并有所作用。

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • IP
    IP
    +关注

    关注

    5

    文章

    1404

    浏览量

    148272
  • eda
    eda
    +关注

    关注

    71

    文章

    2537

    浏览量

    170862
  • AI
    AI
    +关注

    关注

    87

    文章

    26443

    浏览量

    264044
收藏 人收藏

    评论

    相关推荐

    2024年EDA/IP十大关键词:除了AI和云化还有什么?

    设计类和模拟设计类工具占整体EDA市场的比例分列前两位,市场份额分别达到65.0%和17.1%。   EDAIP同处于产业上游,一起被称为
    的头像 发表于 02-13 10:26 3980次阅读
    2024年<b class='flag-5'>EDA</b>/<b class='flag-5'>IP</b>十大关键词:除了AI和云化还有什么?

    昕原理图设计EDA软件(Jupiter)试用

    昕原理图设计EDA软件(Jupiter)是一款符合中国国情的原理图设计软件,聚焦核心功能,覆盖原理图设计全流程,功能设计更智能化,界面操作更人性化,让硬件工程师使用得更愉悦、顺畅。昕科技旨在通过
    发表于 04-12 14:30

    首个鸿蒙生态创新中心在深揭幕,开启鸿蒙产业新篇章共绘鸿蒙原生应用开发新篇章

    中心)由鸿蒙生态服务公司负责具体建设和运营,保障从战略设计到实践的落地转化。创新中心“加速鸿蒙生态蓬勃发展,构筑千行百业数字底座”使命,
    发表于 03-20 09:55

    新目标,新征程!拓维信息北京运营中心盛大启航

    ,共启新征程。图/拓维信息北京运营中心22年前,拓维信息在创立之初就已着眼全国战略布局,聚焦北京这一科技、文化和人才汇聚的战略高地,投入建设北京运营中心。如今,北京正加速
    的头像 发表于 03-06 08:13 383次阅读
    新目标,新<b class='flag-5'>征程</b>!拓维信息北京运营<b class='flag-5'>中心</b>盛大启航

    2023年EDA/IP行业融资:深创投、华大九天投资活跃,数字EDA、接口IP较吸金

    企业的发展路线,无外乎都是“大鱼吃小鱼”,但国内EDA企业并购事件却寥寥无几。   兼并收购少之外,近几年国内诞生的初创EDA公司数量还反倒飞速上涨。电子发烧友也一直在收集整理国内初创EDA公司以及
    的头像 发表于 01-27 01:01 3361次阅读
    2023年<b class='flag-5'>EDA</b>/<b class='flag-5'>IP</b>行业融资:深创投、华大九天投资活跃,数字<b class='flag-5'>EDA</b>、接口<b class='flag-5'>IP</b>较吸金

    思尔芯总裁林铠鹏与业界共话AI与EDA云新趋势

    9月18日,由EDA²主办的首届IDAS设计自动化产业峰会在武汉中国光谷科技会展中心隆重开幕。思尔芯总裁林铠鹏先生被特邀作为圆桌讨论嘉宾,与其他业界专家一同探索未来EDA新趋势。作为数字EDA
    的头像 发表于 10-21 08:23 953次阅读
    思尔芯总裁林铠鹏与业界<b class='flag-5'>共话</b>AI与<b class='flag-5'>EDA</b>云新趋势

    晋级揭晓!华秋第九届中国硬件创新创客大赛-华东分赛区决赛成功举办!

    ! 关于华秋 华秋,成立于2011年,是全球领先的产业数字化智造平台,国家级高新技术企业。“客户中心,追求极致体验”经营理念,布局了电子发烧友网、方案设计、元器件电商、PCB 制
    发表于 09-18 15:02

    思尔芯全面的数字EDA解决方案亮相IDAS,赋能芯片设计

    作为芯片之母,EDA是芯片设计的关键工具,直接左右芯片性能、质量、生产效率及成本。随着全球芯片市场的动荡和变革,强韧、高效和创新EDA生态系统的建设成为了业界迫切的需求。在此背景下,
    的头像 发表于 08-31 08:25 579次阅读
    思尔芯全面的数字<b class='flag-5'>EDA</b>解决方案亮相IDAS,赋能芯片设计

    泰科智能15年开启新征程,一起智创新未来

    泰科智能机器人创于2008年,专注做机器人核心硬件。泰科智能致力于运动控制研发15年,坚持以客户独特需求为中心,紧跟市场,从标准到定制,始终保持高标准研发,持续不断地进行技术突破和创新,已广泛应用于多个行业领域。与客户共同成长,
    的头像 发表于 08-29 10:43 485次阅读
    泰科智能15年开启新<b class='flag-5'>征程</b>,一起智<b class='flag-5'>创新</b>未来

    ICDIA 2023: 思尔芯演讲分享汽车电子创新方案,丰富EDA工具推动国产替代

    展示其丰富多样的EDA产品。此次大会的主题为“应用引领集成电路产业高质量发展”,并围绕EDA/IP与IC设计创新、RISC-V与开源芯片、ChatGPT与高算力芯片
    的头像 发表于 07-06 10:06 504次阅读
    ICDIA 2023: 思尔芯演讲分享汽车电子<b class='flag-5'>创新</b>方案,丰富<b class='flag-5'>EDA</b>工具推动国产替代

    首期微软智汇沙龙共话 GPT-4 创新与未来

    首期微软智汇沙龙近日于微软新视界创新中心成功举办,特邀中国工程院邬贺铨院士作为嘉宾、由微软全球资深副总裁张祺博士致开幕词,与院友共话科技、共探创新。微软智汇沙龙旨在为院友(曾在微软亚太
    的头像 发表于 07-04 00:15 331次阅读
    首期微软智汇沙龙<b class='flag-5'>共话</b> GPT-4 <b class='flag-5'>创新</b>与未来

    芯华章携手产业,共建国家集成电路设计自动化技术创新中心

    6月29日,国家集成电路设计自动化技术创新中心(下称“EDA国创中心”)揭牌仪式及理事会第一次会议在南京举行。芯华章作为国内率先具有完备数字验证全流程工具平台的
    的头像 发表于 06-30 15:00 527次阅读
    芯华章携手产业,共建国家集成电路设计自动化技术<b class='flag-5'>创新</b><b class='flag-5'>中心</b>

    思尔芯EDA工具助力Sirius Wireless搭建Wi-Fi6/BT射频IP验证系统

    RF IP 解决方案提供商 Sirius Wireless 的 Wi-Fi6/BT 射频 IP 验证系统已被广泛应用,该系统是基于思尔芯的原型验证 EDA 工具搭建而成。
    发表于 06-29 10:23 276次阅读

    共建、共享开源EDA核心共性技术框架|2023开放原子全球开源峰会开源EDA分论坛成功举办

    不同的开源IP、openDACS开源EDA等。通过大量的平台共享,使得上层更方便、更快速地开发定制,通过开放、共享RISC-V 开源处理器 “香山”底座,支持整个产业界的成千上万家企业创新
    发表于 06-16 13:45

    共话人才培养和科技创新,上海集成电路产教融合大会圆满落幕!

    全国重点实验室,IP与芯片架构创新中心主任韩军、复旦大学信息科学与工程学院副书记陈睿、上海交通大学电子信息与电气工程学院(电子工程系)副主任杜江兵、华东师范大学集成电路科学与工程学院院长赵毅、上海大学
    发表于 04-28 17:48