0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

Vivado中DONT TOUCH该如何使用?

FPGA通信小白成长之路 来源:FPGA通信小白成长之路 2023-11-30 09:56 次阅读

问题

FPGA编码中,经常会遇到一些信号、模块等被综合工具优化,而有些信号恰恰是我们需要的,或者需要观测的,针对这种情况,DONT TOUCH可以满足我们的需求,该属性的使用频率也较高。

属性说明

DONT TOUCH可以用于替代属性KEEP和KEEP HIERARCHY,与两者仅作用于综合阶段相比,DONT TOUCH可以作用范围包括布局和布线阶段,依旧可以保持不被优化。

当和其他属性冲突时,DONT TOUCH的优先级最高。

属性用法

DONT TOUCH作用对象包括信号、模块、网标,格式为(*DONT TOUCH=VALUE*),属性值VALUE可设置为TRUE/FALSE或者yes/no。

作用于信号:

(*DONT TOUCH= “YES“*)wire signal;

作用于模块:

(*DONT TOUCH= “YES“*)module module_test(in,out);

作用于例化单元:

(*DONT TOUCH= “YES“*)Inst Inst_example(.in(in),.out(out));

通常,DONT TOUCH属性不建议使用在XDC文件中,因为对于信号来说,通常优化是发生在读取XDC前,因此设置属性可能导致无效。

唯一一种推荐使用到XDC中的场景,是当RTL代码中使用了DONT TOUCH属性并设置为YES时,想让该属性不生效但又不想改变RTL设计时,可以在XDC文件中再次进行DONT TOUCH属性设置为NO的设置,此时后者设置生效,信号将会被优化。






审核编辑:刘清

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • FPGA
    +关注

    关注

    1603

    文章

    21328

    浏览量

    593267
  • Vivado
    +关注

    关注

    18

    文章

    790

    浏览量

    65107

原文标题:Vivado中DONT TOUCH的使用

文章出处:【微信号:FPGA通信小白成长之路,微信公众号:FPGA通信小白成长之路】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    使用vivado v2016.4运行实现时停留在opt_design

    begin:osc_gen赋值s [i + 1] =〜s ; end endgenerate和DONT_TOUCH约束包含在我的xdc。set_property DONT_TOUCH true
    发表于 11-02 11:27

    vivado.log找不到错误

    I have this vivado.log which has an Error at the end, however I dont see any individual errors
    发表于 11-05 11:32

    Vivado 2015.1崩溃并使用大量内存

    我在8位内存的Windows 7 64位机器上使用Vivado。麻烦的是,有时它似乎使用了大量的内存;有时大约6GB。有一次它说GUI已经耗尽了内存,那么计算机就崩溃了。我真的不明白为什么这应该发生
    发表于 12-11 11:14

    怎么禁用WindowsVivado .jou和.log文件

    Vivado不断在vivado项目目录创建new.jou和.log备份文件。如何禁用这些文件的创建和/或备份?以上来自于谷歌翻译以下为原文Vivado is constantly c
    发表于 12-21 11:07

    Vivado综合操作的重定时(Retiming)

    synth_design或Vivado GUI综合设置下通过-retiming命令启用全局重定时,此外特性还可以与合成的BLOCK_SYNTH特性一起用于设计的特定模块。局部重定
    发表于 03-14 12:32

    为什么ucgui移植touch功能后会死机?

    我是用的mini2.0的touch代码,ucos建立了两个任务,不加touch的时候lcd显示正常,加了touch功能后发现程序会死在Touch_Init();和GUI_
    发表于 08-14 00:23

    Vivado for ZYBO无法提供怎么办?

    。 .XML文件位于C:/Xilinx/Vivado/2013.4/data/boards/zybo/1.0/board.xml。我直接从ZYBO板的Digilent信息页面下载了这个文件,但错误
    发表于 09-24 08:43

    Vivado 2016.1无法在ZC706运行?

    你好,我们今天刚刚收到一个ZC706开发套件(带有Zynq XC7Z045),我们非常惊讶,因为在欢迎信中写道:“随着Vivado 2015.4的发布,产品已被冻结。它将不会在后来的Vivado软件
    发表于 10-10 08:24

    锂电池靠近触摸芯片的touch脚会使芯片一直检测到有按键动作

    锂电池靠近触摸芯片的touch脚会使芯片touch脚一直有效,稍微远离就没问题,这是为什么呢?求大神帮忙
    发表于 11-23 01:07

    DONT_TOUCH约束

    ` 对设计的信号施加DONT_TOUCH约束,可以避免这些信号在综合编译过程中被优化掉。例如,有些信号节点在综合或布局布线编译过程可能会被优化掉,但是我们希望在后期调试过程能够监
    发表于 09-18 14:24

    touch DONT_TOUCH约束

    对设计的信号施加DONT_TOUCH约束,可以避免这些信号在综合编译过程中被优化掉。例如,有些信号节点在综合或布局布线编译过程可能会被优化掉,但是我们希望在后期调试过程能够监控到
    发表于 09-23 10:47

    MCU学习之DC相关知识

    一、相关知识1.set_dont_touch在当前设计的单元格、网、引用和设计以及库单元格上设置dont_touch属性,以防止在优化过程修改或替换这些对象使得DC在综合的时候不会
    发表于 11-03 06:33

    如何在python实现ESP TOUCH协议?

    设备连接到路由器。 有人能告诉我如何在 python 实现 ESP TOUCH 协议吗?这样所有模块连同树莓派都可以从一个应用程序同时配置。
    发表于 05-17 08:20

    MCU学习笔记_DC

    一、相关知识1.set_dont_touch在当前设计中的单元格、网、引用和设计以及库单元格上设置dont_touch属性,以防止在优化过程中修改或替换这些对象使得DC在综合的时候不会给时钟网络加入
    发表于 10-28 15:21 5次下载
    MCU学习笔记_DC

    物理约束实践:网表约束DONT_TOUCH

    概述   对设计中的信号施加DONT_TOUCH约束,可以避免这些信号在综合编译过程中被优化掉。例如,有些信号节点在综合或布局布线编译过程中可能会被优化掉,但是我们希望在后期调试过程中能够监控到这些
    的头像 发表于 11-12 14:14 2153次阅读