0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

浅谈信号delay time和phase shift

要长高 来源:eetop 作者:hebut_wolf 2023-11-29 15:04 次阅读

对于信号而言,phase shift是一个相对值,而time delay是绝对值。有时候我们关心time delay,那么如何由phase得到延迟时间呢?

假设信号经过一阶低通滤波器(-3dB带宽为f0),那在所有频率点,信号的delay是怎样的?

tao=16ns时,我用matlab plot了一下幅度、相位和time delay,其中time delay=phase/w (其中w为角频率,phase量纲为rad/s)

可以看出低频的time delay=tao,高频信号没有delay。

wKgaomVm4jCASKOgAAK6Eq_X-30494.png

在数学上time delay=arctan(f/f0)/(2*pi*f)

当f/f0为无穷小时,上式近似为1/(2*pi*f0)=tao ==》原来tao的物理意义在这里,意味着小于f0的频率基本都delay tao。

当f远大于f0时,time delay=0,可以理解为相位偏差90度但由于周期无穷小,所以delay为0;

中间阶段就按照arctan(f/f0)/(2*pi*f)的公式来,time delay和频率是一个非线性的关系。

**************************************************************

我因此继续延伸一个话题:一个闭环运放,当gbw不变,而phase margion变化时,在gbw处的环内信号(也可以理解为干扰) delay time一样吗?如果环内信号的delay time特别关键,那么可以认为即使gbw相同,当phase margion不同时,在gbw处的干扰信号的抵消速度不一样。

再进一步,如果是一个dc-dc或pll,带内双极点,一个零点,那么即使gbw不同,在零极点位置相同(dc增益不同)时,环路的响应速度(高频处的相位)是一样的(但抵消的幅度不一样,这么分析好像实际意义不大,但把环路反馈拆分为速度(时间)和幅度,有利于更细致的分析环路响应问题和做改进)。

再往前,环路问题拆分为幅度和速度(时间,也可以认为是频率)后,在sdm adc中,如果要优化int1对idac的瞬态响应,由于idac方波中包含了各种频率分量,为了使方波中各次谐波都能有响应,此时opamp的gbw要足够大。

而当设计一个滤波器,想要在某个频段有很好的线性度时,可以不用做很高的gbw,而利用一些复极点把关心的频段做一个peak即可。

以上的分析说明,明确对速度(频率)和幅度的要求后,改进方法会很有针对性。

审核编辑:黄飞

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 滤波器
    +关注

    关注

    158

    文章

    7332

    浏览量

    174792
  • 低通滤波器
    +关注

    关注

    13

    文章

    448

    浏览量

    46925
  • 谐波
    +关注

    关注

    6

    文章

    737

    浏览量

    41352
  • 信号
    +关注

    关注

    11

    文章

    2640

    浏览量

    75390
收藏 人收藏

    评论

    相关推荐

    信号如何由phase得到延迟时间呢?信号delay是怎样的?

    对于信号而言,phase shift是一个相对值,而time delay是绝对值。有时候我们关心time
    的头像 发表于 12-01 16:49 631次阅读
    <b class='flag-5'>信号</b>如何由<b class='flag-5'>phase</b>得到延迟时间呢?<b class='flag-5'>信号</b>的<b class='flag-5'>delay</b>是怎样的?

    UVM手把手教程系列(二)Phase机制简单介绍

    UVM中的phase,按照其是否消耗仿真时间($time打印出的时间)的特性,可以分成两大类
    的头像 发表于 02-29 09:26 460次阅读
    UVM手把手教程系列(二)<b class='flag-5'>Phase</b>机制简单介绍

    调用Time_Auto_Decrement()函数来是LED_Delay_Time变量的值递减,为什么值却不变?

    谁能帮忙解释一下,我调用Time_Auto_Decrement()函数来是LED_Delay_Time变量的值递减,为什么,值却不变 /****main.c*****/ int main(void
    发表于 04-28 08:35

    LCD TV Time Shift功能

    那位大侠有,有关LCD TV Time Shift功能的方案呢?
    发表于 01-23 12:05

    在FPGA内手动做Delay

    1. 确定要delay信号是时钟信号还是数据信号。如果是free running的时钟信号,频率在DCM操作范围之内,要记得使用DCM做
    发表于 12-20 15:56

    testbench时钟信号

    = ~clock; end/****************相移时钟信号(采用always)**************/parameter HI_TIME = 5,LO_TIME = 10,
    发表于 05-10 00:51

    Design considerations for three-phase power factor correction

    , the current in each phase is discontinuous for a large portion of the time, even though the voltage
    发表于 06-12 09:26

    Phase Noise Analysis in CMOS L

    developed using the linear time-invariant or thetime-variant models [1]-[5], all these studies of phase noise are based on a single LC
    发表于 09-08 08:21 17次下载

    UCC28950,pdf(Green Phase-Shift

    The UCC28950 enhanced phase-shifted controller builds upon Texas Instrument’s industry standard
    发表于 09-29 23:26 78次下载

    数字控制移相-Digitally Control Phase

    such as resistors and capacitors, and an operational amplifier to build a digitally controlled phase-shift circuit. Although written specifically fo
    发表于 04-25 10:39 1038次阅读
    数字控制移相-Digitally Control <b class='flag-5'>Phase</b>

    数字控制移相-Digitally Control Phase

    such as resistors and capacitors, and an operational amplifier to build a digitally controlled phase-shift circuit. Although written specifically fo
    发表于 04-28 10:30 667次阅读
    数字控制移相-Digitally Control <b class='flag-5'>Phase</b>

    Propagation Delay Measurements

    Propagation Delay Measurements Using TDR (Time-Domain Reflectometry) Abstract: As clock speeds
    发表于 02-21 09:48 2743次阅读
    Propagation <b class='flag-5'>Delay</b> Measurements

    Accounting for delay from multiple sources in delta-sigma ADCs

    In the process of doing so the data is effectively fltered introducing a linear phase response. This phase
    发表于 11-07 14:14 0次下载
    Accounting for <b class='flag-5'>delay</b> from multiple sources in delta-sigma ADCs

    stm32 delay文件总结

    stm32 delay文件总结delay.h#ifndef __DELAY_H#define __DELAY_H #include "sys.h" void
    发表于 12-24 19:36 6次下载
    stm32 <b class='flag-5'>delay</b>文件总结

    innovus的incredible delay是什么?

    Incr Delay是由于SI信号完整性(比如cross talk、电磁等)造成的增量延时,也称为噪声延迟(incr delay)。
    的头像 发表于 04-03 10:54 1683次阅读