0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

易灵思Ti180报错分析cannot connect to more than 4 different clocks per region on left and right

ramsey_wang 来源: 易灵思 作者:易灵思 2023-11-19 16:27 次阅读

报错:cannot connect to more than 4 different clocks per region on left and right

wKgaomVZxnmADCCyAAEAJIzHxYg421.png

今天在Ti180分配LVDS的时候出现了这个错误。原因是在pinout文件中对应的Clock Region中,不能超过4个时钟去驱动。

wKgaomVZxrOAbS0wAAEFoYDY6W4741.png

也就是GPIOR_PN_42,41,40三组差分对,不能由两组LVDS来驱动,因为每组LVDS时钟有lvds_fast_clk和lvds_slow_clk两个,两组就会有4个时钟在Region clock R13区域。

Emulated MIPI RX Function LVDS and MIPI Pairs Clock Region
RX_DATA_P1_I6 GPIOR_PN_42 R13
RX_DATA_N1_I6 GPIOR_PN_42 R13
RX_DATA_N0_I6 GPIOR_PN_41 R13
RX_DATA_P0_I6 GPIOR_PN_41 R13
RX_DATA_N7_I7 GPIOR_PN_40 R13
RX_DATA_P7_I7 GPIOR_PN_40 R13


声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 时钟
    +关注

    关注

    10

    文章

    1480

    浏览量

    130306
  • lvds
    +关注

    关注

    2

    文章

    848

    浏览量

    64627
  • 易灵思
    +关注

    关注

    5

    文章

    35

    浏览量

    4686
收藏 人收藏

    评论

    相关推荐

    STM32F103VE添加DSP库报错ld.exe: cannot find -l-mcpu=cortex-m3是什么原因呢?

    使用STM32F103VE芯片,在STM32cubeide里添加DSP库后,编译报错ld.exe: cannot find -l-mcpu=cortex-m3,请问是什么原因呢?文件目录以及配置如下
    发表于 03-15 08:14

    FSW43频谱分析仪维修报错UNCAL/IF OVLD案例

    近日某院校送修一台罗德与施瓦茨频谱分析仪FSW43,客户反馈上报错UNCAL/IF OVLD,对仪器进行初步检测,确定与客户描述故障一致。本期将为大家分享本维修案例。 下面就是
    的头像 发表于 12-12 17:00 323次阅读
    FSW43频谱<b class='flag-5'>分析</b>仪维修<b class='flag-5'>报错</b>UNCAL/IF OVLD案例

    罗德与施瓦茨频谱分析仪FSW43报错UNCAL/IF OVLD维修案例

                  近日某院校送修一台罗德与施瓦茨频谱分析仪FSW43,客户反馈上报错UNCAL/IF OVLD,对仪器进行初步检测,确定与客户描述故障一致。本期将为大家分享本维修
    的头像 发表于 12-11 16:13 230次阅读

    linux中more命令的用法

    在Linux中, more 命令是一个基本的分页器,它一次显示一屏文本内容,按空格键向下滚动一屏,按 q 键退出。 more 命令的基本语法如下: more [options] [file] 其中
    的头像 发表于 11-08 14:19 542次阅读

    如何使用M051驱动LCD显示中文字符

    to display more than one character, the customers need to generate a 16×16 font library. The generation
    发表于 08-30 06:52

    如何使用M051驱动LCD显示中文字符

    to display more than one character, the customers need to generate a 16×16 font library. The generation
    发表于 08-23 08:07

    将YOLOv5 ONNX模型转换为中间表示(IR)格式时,收到与节点相关的错误怎么解决

    ] The ExpandDims node Unsqueeze_583 has more than 1 input [ ERROR ] Cannot infer shapes or values for node
    发表于 08-15 08:14

    将E203移植到ZYNQ ZU15EG后,在向其加载程序时始终报错是为什么?

    /mmcme4_adv_inst/CLKOUT1]] set_false_path -from [get_clocks clk_pl_0] -to [get_clocks -of_objects
    发表于 08-11 08:26

    MIPI2.5G DPHY TX demo移植指南

    最近陆续有客户在评估易灵思的Ti180Ti180的MIPI 2.5G是硬核。今天做一个简单的移植来试验下MIPI DSI 驱屏。
    的头像 发表于 07-14 10:21 1000次阅读
    MIPI2.5G DPHY TX demo移植指南

    在使用left jion时,on和where条件的区别

    前天写SQL时本想通过 A left B join on and 后面的条件来使查出的两条记录变成一条,奈何发现还是有两条。 后来发现 join on and 不会过滤结果记录条数,只会根据
    的头像 发表于 06-16 14:46 367次阅读
    在使用<b class='flag-5'>left</b> jion时,on和where条件的区别

    什么是Shift-Left安全?

    就解决代码中的任何安全问题。 在这里,我们概述了什么是Shift-Left Security,并提供了有关静态分析器如何帮助您在 SDLC 中及早发现安全漏洞的指导。
    的头像 发表于 05-16 11:31 485次阅读
    什么是Shift-<b class='flag-5'>Left</b>安全?

    FPGA中Bank和Clock Region之前有什么关系?

    FPGA中的Bank和Clock Region有什么关系?
    的头像 发表于 05-15 09:32 673次阅读
    FPGA中Bank和Clock <b class='flag-5'>Region</b>之前有什么关系?

    今日说“法”:TimeQuest约束外设之诡异的Create Generated Clocks

    和时钟输入端的延迟参数,无法分析,还需分若干步配置: 1.使用Create Clocks建立系统时钟sysclk create_clock -name {sysclk} -period
    发表于 05-06 16:24

    网络分析仪维修——是德E5071报错unlocked最新案例

    近日某院校送修是德网络分析仪E5071C,客户反馈网络分析仪unlocked报错,安泰维修检测与客户描述故障一致。本期将为大家分享本维修案例。 下面就是是德-E5071C维修情况 是德网络分析
    的头像 发表于 05-04 17:05 633次阅读
    网络<b class='flag-5'>分析</b>仪维修——是德E5071<b class='flag-5'>报错</b>unlocked最新案例